Page History
...
HTML |
---|
<!-- Description of Block Design, Constrains... BD Pictures from Export... --> |
Block Design
...
Constrains
Basic module constrains
Code Block | ||||
---|---|---|---|---|
| ||||
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design] set_property BITSTREAM.CONFIG.CONFIGRATE 66 [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property CFGBVS VCCO [current_design] set_property CONFIG_MODE SPIx4 [current_design] set_property BITSTREAM.CONFIG.USRSPI_32BIT_ACCESSADDR TIMESTAMPYES [current_design] |
Design specific constrain
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property BITSTREAM.CONFIG.M1PIN PULLNONE [current_design]
set_property BITSTREAM.CONFIG.M2PIN PULLNONE [current_design]
set_property BITSTREAM.CONFIG.M0PIN PULLNONE [current_design]
set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design] |
Code Block | ||||
---|---|---|---|---|
| ||||
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLDOWN [current_design] |
Design specific constrain
Code Block | ||||||||
---|---|---|---|---|---|---|---|---|
| ||||||||
set_property PULLDOWN true | ||||||||
Code Block | ||||||||
| ||||||||
set_property PACKAGE_PIN K2 [get_ports {fclk[0]}] set_property IOSTANDARD LVCMOS18 [get_ports {fclk[0]}] set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets fclk_IBUF[0]]reset] |
Software Design - SDK/HSI
...
For SDK project creation, follow instructions from:
Application
FSBL
SREC SPI BootLoader
Add some Console outputs and changed Bootloader Read Address.
xilisf_v5_8
Changed default Flash Typ.Xilinx default FSBL
U-Boot
U-Boot.elf is generated with PetaLinux. SDK/HSI is used to generate Boot.bin.u-boot.srec. Vivado to generate *.mcs
Software Design - PetaLinux
HTML |
---|
<!-- optional chapter --> |
Description currently not available.
Config
- Set Uboot partition size to 0x4E0000:
- SUBSYSTEM_FLASH_AXI_QUAD_SPI_0_BANKLESS_PART1_SIZE
(--> Subsystem Auto Hardware Settings -->
- SUBSYSTEM_FLASH_AXI_QUAD_SPI_0_BANKLESS_PART1_SIZE
...
- Flash Settings)
Description currently not available.
Config
U-Boot
No changes.
Device Tree
Code Block | ||
---|---|---|
| ||
/include/ "system-conf.dtsi" / { }; |
Kernel
No changes.
Rootfs
No changes.
Applications
startup
Script App to load init.sh from SD Card if available.
See: \os\petalinux\project-spec\meta-user\recipes-apps\startup\filesNo changes.
Additional Software
HTML |
---|
<!-- Add Description for other Software, for example SI CLK Builder ... --> |
...
Overview
Content Tools