Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

...

Add some Console outputs and changed Bootloader Read Address.

Template location: \sw_lib\sw_apps

xilisf_v5_8

Changed default Flash Typ to 5.

Template location: \sw_lib\sw_services

U-Boot

U-Boot.elf is generated with PetaLinux. SDK/HSI is used to generate u-boot.srec. Vivado to generate *.mcs

...

U-Boot

No changes.

Device Tree

No changes.

Code Block
languagejs
/include/ "system-conf.dtsi"
/ {
};


...