Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

...

Page properties
hiddentrue
idComments

Template Revision 2.1 6 - on construction

Design Name always "TE Series Name" + Design name, for example "TE0720 Test Board"

...

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design


Excerpt
  • Vivado 2018.3
  • RPI Camera 1.3 or 2.1
  • VIDEO/AUDIO (plus video/audio player)
  • HDMI
  • PetaLinux
  • SD
  • ETH
  • USB
  • I2C
  • Special FSBL for QSPI programming

...

Scroll Title
anchorTable_DRH
titleDesign Revision History

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

DateVivadoProject BuiltAuthorsDescription
2019-12-192018.3te0726-zynqberrydemo3-vivado_2018.3-build_10_20200114090815.zip
te0726-zynqberrydemo3_noprebuilt-vivado_2018.3-build_10_20200114090645.zip
Mohsen Chamanbaz
  • update with Vivado 2018.3
2019-02-122018.2te0726-zynqberrydemo3-vivado_2018.2-build_04_20190212141216.zip
te0726-zynqberrydemo3_noprebuilt-vivado_2018.2-build_04_20190212141236.zip
Oleksandr Kiyenko
  • add missing fgrab in petalinux template
2018-12-05

2018.2

te0726-zynqberrydemo3-vivado_2018.2-build_03_20181128113130.zip
te0726-zynqberrydemo3_noprebuilt-vivado_2018.2-build_03_20181128113146.zip
Oleksandr Kiyenko
  • initial release


...

Scroll Title
anchorTable_SW
titleSoftware

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

SoftwareVersionNote
Vivado2018.23needed
SDK2018.23needed
PetaLinux2018.23needed


Hardware

Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design

...

Scroll Title
anchorTable_AHW
titleAdditional Hardware

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Additional HardwareNotes
USB PowerUse USB2.0 or higher for power supply via USB
USB CableConnect to USB2 or better USB3 Hub for proper power supply over USB
headphonesHeadphones

Content

--
Raspberry Pi Camera Rev 1.3 or Camera Rev 2.1Betaimplemenetation of REV2.1(not complette stable)
MonitorDELL Model Number: U2412Mc
HDMI Cable--


Content

Page properties
hiddentrue
Page properties
hiddentrue
idComments

Notes :

  • the content of the zip file

...

Scroll Title
anchorTable_DS
titleDesign sources

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

TypeLocationNotes
Vivado<design name>/block_design
<design name>/constraints
<design name>/ip_lib
Vivado Project will be generated by TE Scripts
SDK/HSI<design name>/sw_libAdditional Software Template for SDK/HSI and apps_list.csv with settings for HSI
PetaLinux<design name>/os/petalinuxPetaLinux template with current configurationSDSoC<design name>/../SDSoC_PFMSDSoC Platform will be generated by TE Scripts or as separate download


Additional Sources

Scroll Title
anchorTable_ADS
titleAdditional design sources

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

TypeLocationNotes
init.sh<design name>/misc/init_scriptAdditional Initialization Script for Linux


...

Reference Design is available on:

Design Flow

Page properties
hiddentrue
idComments
Notes :
  • Basic Design Steps

  • Add/ Remove project specific description

...

  1. _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:
  2. Press 0 and enter for minimum setupto start "Module Selection Guide"
  3. (optional Win OS) Generate Virtual Drive or use short directory  for the reference design (for example x:\<design name>)
  4. Create Project (follow instruction of the product selection guide), settings file will be configured automatically during this process
    1. (optional for manual changes) Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"
      Note: Select correct one, see  see TE Board Part Files
  5. Create HDF and export to prebuilt folder
    1. Run on Vivado TCL: TE::hw_build_design -export_prebuilt
      Note: Script generate design and export files into \prebuilt\hardware\<short dir>. Use GUI is the same, except file export to prebuilt folder
  6. Create Linux (uboot.elf and image.ub) with exported HDF
    1. HDF is exported to "prebuilt\hardware\<short name>"
      Note: HW Export from Vivado GUI create another path as default workspace.
    2. Create Linux images on VM, see PetaLinux KICKstart
      1. Use TE Template from /os/petalinux
      2. For 128MB and 64MB only:Netboot Offset must be reduced manually, see 68616202
  7. Add Linux files (uboot.elf and image.ub) to prebuilt folder
    1. "prebuilt\os\petalinux\default<DDR size>" or "prebuilt\os\petalinux\<short name>"
      Notes: Scripts select "prebuilt\os\petalinux\<short name>", if exist, otherwise "prebuilt\os\petalinux\default\<DDR size>"
  8. Generate Programming Files with HSI/SDK
    1. Run on Vivado TCL: TE::sw_run_hsi
      Note: Scripts generate applications and bootable files, which are defined in "sw_lib\apps_list.csv"
    2. (alternative) Start SDK with Vivado GUI or start with TE Scripts on Vivado TCL: TE::sw_run_sdk
      Note: See SDK Projects

...

QSPI

  1. Connect JTAG and power on the carrier with modulemodule (TE0726 can be powered via JTAG USB or external)
  2. Open Vivado Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"
  3. Type on Vivado TCL Console: TE::pr_program_flash_binfile -swapp u-boot
    Note: To program with SDK/Vivado GUI, use special FSBL (zynqmp_fsbl_flash) on setup
             optional "TE::pr_program_flash_binfile -swapp hello_te0726" possible
  4. Build Image.ub in Petalinux 
  5. Copy the Petalinux image.ub on SD-Card
    • For correct prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
  6. Copy ffmpeg compatible video or aplay compatible audio file init.sh on SD-Card
    • Location : <design-name>/misc/sd/
  7. Insert SD-Card

SD

Xilinx Zynq devices in CLG225 package do not support SD Card boot directly from ROM bootloader. Use QSPI for primary boot and SD for secondary boot (u-boot)

...

  1. Open Serial Console (e.g. putty)
    1. Speed: 115200
    2. COM Port: Win OS, see device manager, Linux OS see  dmesg |grep tty  (UART is *USB1)
  2. Linux Console:
    Note: Wait until Linux boot finished For Linux Login use:
    1. User Name: root
    2. Password: root
      Note: Wait until Linux boot finished For Linux Login use:
      1. User Name: root
      2. Password: root
  3. You can use a Linux shell now.
    1. I2C 1 Bus type: i2cdetect -y -r 5
      Bus 0...5 possible
    2. ETH0 works with udhcpc
    3. USB: insert USB device
  4. Start Video with "play <video file>"
    1. "play" is alias to ffmpeg with some parameters for video and audio, type "alias" to see configuration
    2. for videos with higher resolution, disable audio on ffmpeg configuration
  5. Start Audio with "aplay <audio files>"
  6. Take image from camera (must be enabled with init.sh scripts):
    1. write image to webserver: fbgrab -d /dev/fb1 /srv/www/camera.png
    2. Display image on host PC: http://<ZynqBerry IP>/camera.png

System Design - Vivado

Page properties
hiddentrue
idComments

Note:

  • Description of Block Design, Constraints... BD Pictures from Export...

...

Scroll Title
anchorFigure_BD
titleBlock Design

PS Interfaces

Page properties
hiddentrue
idComments

Note:

  • optional for Zynq / ZynqMP only

  • add basic PS configuration

Activated interfaces:

Scroll Title
anchorTable_PSI
titlePS Interfaces

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

TypeNote
DDR---
QSPIMIO
USB0MIO, ETH over USB
SD1MIO
UART1MIO
I2C0EMIO
I2C1MIO
GPIOMIO / EMIO
USB RSTMIO
TTC0..1MIO
WDTMIO
AXI HP0..1
DMA0..1


...

For SDK project creation, follow instructions from:

SDK Projects

Application

SDK template in ./sw_lib/sw_apps/ available.

zynq_fsbl

TE modified 2018.2 FSBL

  • Changes:
    • enable VTC and VDMA cores (fsbl_hooks.c)

zynq_fsbl_flash

TE modified 2018.2 FSBL

Changes:

  • Set FSBL Boot Mode to JTAG
  • Disable Memory initialization

u-boot

U-Boot.elf is generated with PetaLinux. SDK/HSI is used to generate Boot.bin.

hello_te0726

Hello TE0726 is a Xilinx Hello World example as an endless loop instead of one console output and TE FSBL screen on HDMI Monitor.

Software Design -  PetaLinux

Page properties
hiddentrue
idComments
Note:
  • optional chapter separate

  • sections for linux

  • Add "No changes." or "Activate: and add List"

For PetaLinux installation and  project creation, follow instructions from:

Config

For 64MB variant only:

  • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x2000000

For 128MB variant only:

  • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x4000000

U-Boot

No changes.

Device Tree

Page properties
hiddentrue
idComments

----------------------------------------------------------

FPGA Example

scu

MCS Firmware to configure SI5338 and Reset System.

srec_spi_bootloader

TE modified 2018.3 SREC

Bootloader to load app or second bootloader from flash into DDR

Descriptions:

  • Modified Files: blconfig.h, bootloader.c
  • Changes:
    • Add some console outputs and changed bootloader read address.
    • Add bugfix for 2018.2 qspi flash

xilisf_v5_11

TE modified 2018.3 xilisf_v5_11

  • Changed default Flash type to 5.

----------------------------------------------------------

Zynq Example:

zynq_fsbl

TE modified 2018.3 FSBL

General:

  • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
  • Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\

  • General Changes: 
    • Display FSBL Banner and Device ID

Module Specific:

  • Add Files: all TE Files start with te_*
    • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot  platform-top.h)
    • CPLD access
    • Read CPLD Firmware and SoC Type
    • Configure Marvell PHY

zynq_fsbl_flash

TE modified 2018.3 FSBL

General:

  • Modified Files: main.c
  • General Changes:
    •  Display FSBL Banner
    • Set FSBL Boot Mode to JTAG
    • Disable Memory initialisation

ZynqMP Example:

----------------------------------------------------------

zynqmp_fsbl

TE modified 2018.3 FSBL

General:

  • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c(search for 'TE Mod' on source code)
  • Add Files:  te_xfsbl_hooks.h/.c (for hooks and board)\n\
  • General Changes: 
    • Display FSBL Banner and Device Name

Module Specific:

  • Add Files: all TE Files start with te_*
    • Si5338 Configuration
    • ETH+OTG Reset over MIO

zynqmp_fsbl_flash

TE modified 2018.3 FSBL

General:

  • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
  • General Changes:
    •  Display FSBL Banner
    • Set FSBL Boot Mode to JTAG
    • Disable Memory initialisation


zynqmp_pmufw

Xilinx default PMU firmware.

----------------------------------------------------------

General Example:

hello_te0820

Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

u-boot

U-Boot.elf is generated with PetaLinux. SDK/HSI is used to generate Boot.bin.

SDK template in ./sw_lib/sw_apps/ available.

zynq_fsbl

TE modified 2018.3 FSBL

General:

  • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
  • Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\

  • General Changes: 
    • Display FSBL Banner and Device ID

Module Specific:

  • Add Files: all TE Files start with te_*
    • enable VTC and VDMA cores for debian desktop

zynq_fsbl_flash

TE modified 2018.3 FSBL

General:

  • Modified Files: main.c
  • General Changes:
    •  Display FSBL Banner
    • Set FSBL Boot Mode to JTAG
    • Disable Memory initialisation

hello_te0726

Hello TE0726 is a Xilinx Hello World example as an endless loop instead of one console output and TE FSBL screen on HDMI Monitor.

u-boot

U-Boot.elf is generated with PetaLinux. SDK/HSI is used to generate Boot.bin.

Software Design -  PetaLinux

Page properties
hiddentrue
idComments
Note:
  • optional chapter separate

  • sections for linux

  • Add "No changes." or "Activate: and add List"

For PetaLinux installation and  project creation, follow instructions from:

Config

Start with petalinux-config or petalinux-config --get-hw-description

For 64MB variant only:

  • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x2000000

For 128MB variant only:

  • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x4000000

U-Boot

Start with petalinux-config -c u-boot
Changes:

  • CONFIG_ENV_IS_NOWHERE=y

  • # CONFIG_ENV_IS_IN_SPI_FLASH is not set

Device Tree

Code Block
languagejs
/include/ "system-conf.dtsi"
/ {
};


/ {
    #address-cells = <1>;
    #size-cells = <1>;

    reserved-memory {
        #address-cells = <1>;
        #size-cells = <1>;
        ranges;
        hdmi_fb_reserved_region@1FC00000 {
Code Block
languagejs
/include/ "system-conf.dtsi"
/ {
};


/ {
    #address-cells = <1>;
    #size-cells = <1>;

    reserved-memory {
        #address-cells = <1>;
        #size-cells = <1>;
        ranges;
        hdmi_fb_reserved_region@1FC00000 {
            compatible = "removed-dma-pool";
            no-map;
            // 512M (M modules)
            reg = <0x1FC00000 0x400000>;
            // 128M (R modules)
            //reg = <0x7C00000 0x400000>;
        };
        camera_fb_reserved_region@1F800000 {
            compatible = "removed-dma-pool";
            no-map;
            // 512M (M modules)
            reg = <0x1F800000 0x400000>;
            // 128M (R modules)
            //reg = <0x7800000 0x400000>;
        };

    };

    hdmi_fb: framebuffer@0x1FC00000 {           // HDMI out 
        compatible = "simple-framebuffer";
        // 512M (M modules)
        reg = <0x1FC00000 (1280 * 720 * 4)>;    // 720p
        // 128M (R modules)
        //reg = <0x7C00000 (1280 * 720 * 4)>;   // 720p
        width = <1280>;                         // 720p
        height = <720>;                        compatible // 720p= "removed-dma-pool";
        stride = <(1280 * 4)>; no-map;
            // 512M (M modules)
   // 720p
        formatreg = <0x1FC00000 "a8b8g8r8"0x400000>;
        status  = "okay";
 // 128M (R };

  modules)
  camera_fb: framebuffer@0x1F800000 {         //reg = CAMERA<0x7C00000 in0x400000>;
        compatible};
 = "simple-framebuffer";
      camera_fb_reserved_region@1F800000 {
 // 512M (M modules)
        regcompatible = <0x1F800000 (1280 * 720 * 4)>; "removed-dma-pool";
           // 720p
 no-map;
            // 128M512M (RM modules)
        //reg = <0x7800000 (1280 *reg 720= *<0x1F800000 4)>0x400000>;
   // 720p
        width// =128M <1280>; (R modules)
            //reg = <0x7800000 0x400000>;
         // 720p};

    };

    hdmi_fb: framebuffer@0x1FC00000 {  height = <720>;       // HDMI out 
        compatible = "simple-framebuffer";
        // 720p 512M (M modules)
        stridereg = <<0x1FC00000 (1280 * 720 * 4)>;    // 720p
        // 128M (R modules)
    // 720p
   //reg = <0x7C00000 (1280 * format720 = "a8b8g8r8";
* 4)>;   // };720p

    vcc_3V3: fixedregulator@0 {
  width = <1280>;    compatible = "regulator-fixed";
        regulator-name = "vccaux-supply";
        regulator-min-microvolt = <3300000>; // 720p
        regulator-max-microvoltheight = <3300000><720>;
         regulator-always-on;
    };
};

&qspi {
    #address-cells = <1>;
    #size-cells =// <0>;720p
    status = "okay";
  stride = flash0: flash@0 {
<(1280 * 4)>;        compatible = "jedec,spi-nor";
        reg = <0x0>;// 720p
        #address-cellsformat = <1>"a8b8g8r8";
        #size-cellsstatus = <1>"okay";
    };

    spi-max-frequency = <50000000>;
camera_fb: framebuffer@0x1F800000 {        partition@0x00000000 {
// CAMERA in
          labelcompatible = "bootsimple-framebuffer";
        // 512M (M modules)
 reg = <0x00000000 0x00500000>;
    reg = <0x1F800000 (1280 };
* 720 * 4)>;    // partition@0x00500000 {720p
        // 128M   label = "bootenv";(R modules)
        //reg = <0x7800000 (1280 reg* =720 <0x00500000* 0x00020000>;
4)>;   // 720p
        width = }<1280>;
           partition@0x00520000 {
            label = "kernel";// 720p
        height = <720>;  reg = <0x00520000 0x00a80000>;
        };
        partition@0x00fa0000 {
   // 720p
        labelstride = "spare";
<(1280 * 4)>;                reg = <0x00fa0000// 0x00000000>;720p
        }format = "a8b8g8r8";
    };
};

/*
* We need to disable Linux VDMA driver as VDMA
* already configured in FSBL
*/
&video_in_axi_vdma_0 {
   statusvcc_3V3: fixedregulator@0 {
        compatible = "disabledregulator-fixed";
};

&video_out_axi_vdma_0 {
        statusregulator-name = "disabledvccaux-supply";
};

&video_out_v_tc_0 {
        //xilinx-vtc: probe of 43c20000.v_tc failed with error -2
    status = "disabled";
};

&gpio0 {
    interrupt-controllerregulator-min-microvolt = <3300000>;
        regulator-max-microvolt = <3300000>;
        regulator-always-on;
    #interrupt-cells = <2>};
};

&i2c1qspi {
    #address-cells = <1>;
    #size-cells = <0>;
    status = "okay";
    i2cmux0flash0: i2cmux@70flash@0  {
        compatible = "nxpjedec,pca9544"spi-nor";
        reg = <0x0>;
        #address-cells = <1>;
        #size-cells = <0><1>;
        regspi-max-frequency = <0x70><50000000>;


        i2c1@0partition@0x00000000 {
            #address-cellslabel = <1>"boot";
            #size-cellsreg = <0><0x00000000 0x00500000>;
        };
    reg = <0>;

  partition@0x00500000 {
         id_eeprom@50 {
  label = "bootenv";
            compatiblereg = "atmel,24c32" <0x00500000 0x00020000>;
        };
        regpartition@0x00520000 {
 = <0x50>;
          label = }"kernel";

        };
    reg = <0x00520000 0x00a80000>;
 i2c1@1 {    // Display Interface Connector};
            #address-cells = <1>;partition@0x00fa0000 {
            #size-cellslabel = <0>"spare";
            reg = <1><0x00fa0000 0x00000000>;
        };
        i2c1@2 {    // HDMI Interface Connector
            #address-cells = <1>;
            #size-cells = <0>;
            reg = <2>;
        };
        i2c1@3 {    // Camera Interface Connector
    };
};

/*
* We need to disable Linux VDMA driver as VDMA
* already configured in FSBL
*/
&video_in_axi_vdma_0 {
   status = "disabled";
};

&video_out_axi_vdma_0 {
   status = "disabled";
};

&video_out_v_tc_0 {
    //xilinx-vtc: probe of 43c20000.v_tc failed with error -2
    status = "disabled";
};

&gpio0 {
    interrupt-controller;
    #interrupt-cells = <2>;
};

&i2c1 {
    #address-cells = <1>;
    #size-cells = <0>;

    i2cmux0: i2cmux@70  {
        compatible = "nxp,pca9544";
        #address-cells = <1>;
            #size-cells = <0>;
            reg = <3><0x70>;


        i2c1@0 };{
    };

};

/{
        usb_phy0: usb_phy@0 {
#address-cells = <1>;
           compatible #size-cells = "ulpi-phy"<0>;
         #phy-cells   reg = <0>;

        reg   = <0xe0002000 0x1000>;
id_eeprom@50 {
                view-portcompatible = <0x0170>"atmel,24c32";
                 drv-vbusreg = <0x50>;
            };
};

&usb0 {
    usb-phy = <&usb_phy0>;
} ;

/*
* Sound configuration
*/

/{
  };
        i2c1@1 {    // CustomDisplay driverInterface basedConnector
 on spdif-transmitter
    te_audio: dummy_codec_te {
    #address-cells = <1>;
  compatible = "te,te-audio";
        #sound#size-dai-cells = <0>;
      };

     // Simplereg Audio= Card<1>;
 from AXI_I2S and custom XADC audio input and};
    // PWM audio output cores
i2c1@2 {   sound {
// HDMI Interface  Connector
    compatible = "simple-audio-card";
        simple-audio-card,name#address-cells = "TE0726-PWM-Audio"<1>;
        simple-audio-card,format    #size-cells = "i2s"<0>;
        simple-audio-card,widgets =
   reg = <2>;
       "Microphone", "In Jack",
 };
        i2c1@3 {    "Line", "Line In Jack",// Camera Interface Connector
            "Line", "Line Out Jack",#address-cells = <1>;
            "Headphone", "Out Jack";

#size-cells = <0>;
            simple-audio-card,routingreg = <3>;
        };
    };

};

/{
  "Out Jack", "te-out",
   usb_phy0: usb_phy@0 {
        compatible = "te-in", "In Jack"ulpi-phy";

        simple-audio-card,cpu {#phy-cells = <0>;
        reg = <0xe0002000 0x1000>;
        view-port = <0x0170>;
        drv-vbus;
    };
};

&usb0 {
    usb-phy = <&usb_phy0>;
} ;

/*
* Sound configuration
*/

/{
    sound-dai = <&audio_axi_i2s_adi_0>;
        };
        simple-audio-card,codec {
            sound-dai = <&te_audio>;
        };
    };
};

&audio_axi_i2s_adi_0 {
    compatible = "adi,axi-i2s-1.00.a";
    reg = <0x43c00000 0x1000>;
    clocks = <&clkc 15>, <&clkc 18>; // FCLK_CLK0, FCLK_CLK3
    clock-names = "axi", "ref";
    dmas = <&dmac_s 0 &dmac_s 1>;
    dma-names = "tx", "rx";
    #sound-dai-cells = <0>;
};

/*
* We need to disable Linux XADC driver to use XADC for audio recording
*/
&adc {
    status = "disabled";
};

Kernel

Activate:

  • CONFIG_XILINX_GMII2RGMII
  • CONFIG_USB_USBNET
  • CONFIG_USB_NET_SMSC95XX
  • CONFIG_USBIP_CORE
  • CONFIG_FB_SIMPLE
  • CONFIG_SND_SIMPLE_CARD
  • CONFIG_SND_SOC_ADI_AXI_I2S

Deactivate:

  • FRAMEBUFFER_CONSOLE

Rootfs

// Custom driver based on spdif-transmitter
    te_audio: dummy_codec_te {
        compatible = "te,te-audio";
        #sound-dai-cells = <0>;
    };

    // Simple Audio Card from AXI_I2S and custom XADC audio input and
    // PWM audio output cores
    sound {
        compatible = "simple-audio-card";
        simple-audio-card,name = "TE0726-PWM-Audio";
        simple-audio-card,format = "i2s";
        simple-audio-card,widgets =
            "Microphone", "In Jack",
            "Line", "Line In Jack",
            "Line", "Line Out Jack",
            "Headphone", "Out Jack";

        simple-audio-card,routing =
            "Out Jack", "te-out",
            "te-in", "In Jack";

        simple-audio-card,cpu {
            sound-dai = <&audio_axi_i2s_adi_0>;
        };
        simple-audio-card,codec {
            sound-dai = <&te_audio>;
        };
    };
};

&audio_axi_i2s_adi_0 {
    compatible = "adi,axi-i2s-1.00.a";
    reg = <0x43c00000 0x1000>;
    clocks = <&clkc 15>, <&clkc 18>; // FCLK_CLK0, FCLK_CLK3
    clock-names = "axi", "ref";
    dmas = <&dmac_s 0 &dmac_s 1>;
    dma-names = "tx", "rx";
    #sound-dai-cells = <0>;
};

/*
* We need to disable Linux XADC driver to use XADC for audio recording
*/
&adc {
    status = "disabled";
};

Kernel

Start with petalinux-config -c kernel

Changes:

  • CONFIG_XILINX_GMII2RGMII=y

  • CONFIG_USB_USBNET=y

  • CONFIG_USB_NET_AX8817X=y

  • CONFIG_USB_NET_AX88179_178A=y

  • CONFIG_USB_NET_CDCETHER=y

  • # CONFIG_USB_NET_CDC_EEM is not set

  • CONFIG_USB_NET_CDC_NCM=y

  • # CONFIG_USB_NET_HUAWEI_CDC_NCM is not set

  • # CONFIG_USB_NET_CDC_MBIM is not set

  • # CONFIG_USB_NET_DM9601 is not set

  • # CONFIG_USB_NET_SR9700 is not set

  • # CONFIG_USB_NET_SR9800 is not set

  • # CONFIG_USB_NET_SMSC75XX is not set

  • CONFIG_USB_NET_SMSC95XX=y

  • # CONFIG_USB_NET_GL620A is not set

  • CONFIG_USB_NET_NET1080=y

  • # CONFIG_USB_NET_PLUSB is not set

  • # CONFIG_USB_NET_MCS7830 is not set

  • # CONFIG_USB_NET_RNDIS_HOST is not set

  • CONFIG_USB_NET_CDC_SUBSET_ENABLE=y

  • CONFIG_USB_NET_CDC_SUBSET=y

  • # CONFIG_USB_ALI_M5632 is not set

  • # CONFIG_USB_AN2720 is not set

  • CONFIG_USB_BELKIN=y

  • CONFIG_USB_ARMLINUX=y

  • # CONFIG_USB_EPSON2888 is not set

  • # CONFIG_USB_KC2190 is not set

  • CONFIG_USB_NET_ZAURUS=y

  • # CONFIG_USB_NET_CX82310_ETH is not set

  • # CONFIG_USB_NET_KALMIA is not set

  • # CONFIG_USB_NET_QMI_WWAN is not set

  • # CONFIG_USB_NET_INT51X1 is not set

  • # CONFIG_USB_SIERRA_NET is not set

  • # CONFIG_USB_VL600 is not set

  • # CONFIG_USB_NET_CH9200 is not set

  • CONFIG_USBIP_CORE=y

  • # CONFIG_USBIP_VHCI_HCD is not set

  • # CONFIG_USBIP_HOST is not set

  • # CONFIG_USBIP_VUDC is not set

  • # CONFIG_USBIP_DEBUG is not set

  • CONFIG_FB_SIMPLE=y

  • CONFIG_SND_SIMPLE_CARD_UTILS=y

  • CONFIG_SND_SIMPLE_CARD=y

  • # CONFIG_FRAMEBUFFER_CONSOLE is not set

Rootfs

Start with petalinux-config -c rootfs

AvtivateActivate:

  • i2c-tools
  • alsa-plugins
  • alsa-lib-dev
  • libasound
  • alsa-conf-base
  • alsa-conf
  • alsa-utils
  • alsa-utils-aplay
  • busybox-httpd

Applications

startup

Script App to load init.sh from SD Card if available.

See: \os\petalinux\project-spec\meta-user\recipes-apps\startup\files

Add on new project:

  • petalinux-create -t apps -n startup --enable
  • copy/create startup app files

rpicam

Application used to enable and configure Raspbery Pi camera module

See: \os\petalinux\project-spec\meta-user\recipes-apps\rpicam\files

Add on new project:

  • petalinux-create -t apps -n rpicam --enable
  • copy/create rpicam app files

fbgrab

-user\recipes-apps\startup\files

rpicam

Application used to enable and configure Raspbery Pi camera moduleApplication used to take screenshot from camera

See: \os\petalinux\project-spec\meta-user\recipes-apps\fgrab

Add on new project:

  • petalinux-create -t apps -n rpicam --enable
  • copy/create fbgrab app files

Kernel Modules

te-audio-codec

Simple module stab to use audio interface.

rpicam\files

fbgrab

Application used to take screenshot from camera

See: See:  \os\petalinux\project-spec\meta-user\recipes-modules\te-audio-codec\files

Add on new project:

...

apps\fgrab

webfwu

Webserver application accemble for Zynq access. Need busybox-httpd

See: \os\petalinux\project-spec\meta-user\recipes-apps\webfwu\files

Kernel Modules

te-audio-codec

...

Simple module stab to use audio interface.

Core base files

profile

Simple profile for alias

See: \os\petalinux\project-spec\meta-user\recipes-coremodules\basete-audio-filescodec\files\profile

Add on new project:

  • copy/create profile file

Additional Software

Page properties
hiddentrue
idComments
Note:
  • Add description for other Software, for example SI CLK Builder ...
  • SI5338 and SI5345 also Link to:

...

Scroll Title
anchorTable_dch
titleDocument change history.

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths2*,*,3*,4*
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

sources
DateDocument Revision

Authors

Description

Page info
modified-date
modified-date
dateFormatyyyy-MM-dd

Page info
infoTypeCurrent version
dateFormatyyyy-MM-dd
prefixv.
typeFlat

Page info
Flat
infoTypeModified by
dateFormatyyyy-MM-dd
type
typeFlat

  • Vivado 2018.3 release
2019-02-12v.10John Hartfiel
  • design linux
  • source update

2018-12-05

Dec 2018

v.7John Hartfiel
  • 18Vivado 2018.2 release
--all

Page info
infoTypeModified users
dateFormatyyyy-MM-dd
typeFlat

--


...