Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

...

  1. Execute "create_project_win.cmd" or "create_project_linux.sh"
  2. Select your board in "Board selection" section
  3. Click on "Create project" button

If you don't use predefined quartus installation path, open "design_basic_settings.cmd/.sh" with text editor and set correct quartus installation path.

See  For more details and manual configuration of design basic settings, see Reference Design: Getting Started for more details.

Note

For Problems, please check Checklist / Troubleshoot at first.

...

Type or FileVersion
Quartus Prime19.1 lite / 19.4 pro
Trenz Project Scripts19.4.01
Trenz <board_series>_devices.csv1.01
Trenz zip_ignore_list.csv1.1
Trenz mod_list.csv1.1

...

File or DirectoryTypeDescription
<design_name>work, base directoryBase directory with predefined batch files (*.cmd, *.sh) to generate or create quartus project, open quartus project or program device
<design_name>/backup/generated(Optional) Directory for project backups
<design_name>/board_files/sourceLocal list of available board variants (<board_series>_devices.csv)
<design_name>/consolesourceFolder with different command files<design_name>/log/generated(Temporary) Directory with quartus log files (used only with predefined commands from tcl scripts, otherwise this logs will be writen into the Quartus quartus work directory)
<design_name>/prebuilt/prebuiltContains subfolders for different board variants
<design_name>/prebuilt/<board_part_shortname>prebuiltDirectory with prebuilt programming files (*.pof, *.sof or *.jic) for FPGA and different source files for hardware (*.sopcinfo) and software (*.elf) included in subfolders
<design_name>/prebuilt/<board_part_shortname>/programming_files/prebuiltDirectory with prebuilt programming files (*.pof, *.sof or *.jic)
<design_name>/prebuilt/<board_part_shortname>/hardware/prebuiltDirectory with prebuilt hardware sources (*.sopcinfo)
<design_name>/prebuilt/<board_part_shortname>/software/prebuiltDirectory with prebuilt software sources (*.elf)
<design_name>/quartus/generated(Temporary) Directory where Quartus quartus project is created. Quartus project file is <design_name>.qpf
<design_name>/scripts/sourceTCL scripts to build a project
<design_name>/settings/source(Optional) Additional design settings: zip_ignore_list.csv, mod_list.csv, presetdesign_basic_qsyssettings.qprstcl

<design_name>/software/

generated(Temporary) Directory with additional software
<design_name>/source_files/sourceDirectory with source files needed for creating create project
<design_name>/source_files/quartus/sourceSource files for Quartus quartus project
<design_name>/source_files/software/sourceSource files for additional software

...

Use to create project, open project or program device.

Create projectImage RemovedImage Added

  • Board selection

Select your board from listed modules. To find easier the correct board you can use the filter function. Click on "clear Clear filter" button to reset the filter and show all available modules.

...

Some links to more information about the board, reference design, schematics and create_project_win.cmd/create_project_linux.sh gui.

...

  • Buttons
    • Create project→ start create project from source files for selected board in "Board selection".
    • Open project → open existing project in quartus prime gui.
    • Program device → opens "Program device" window:

Program deviceImage RemovedImage Added

    Intel settings:
    QUADIR: Set Intel installation pathdefault for quartus lite version: Win OS: C:/intelFPGA_lite - Linux OS: ~/intelFPGA_lite
      • Select between "Program prebuilt file" (if available, download reference design with prebuilt files is required) and "Program other file" (select your own generated file via "Browse ..." button).
      • Buttons:
        • Browse ... → choose path to own generated programming file
        • Start program device → start program device with selected programming file
        • Open quartus programmer GUI → open Quartus Programmer GUI
        • Cancel → Quit "Program device" window
    • Exit → Quit
        • "
    • Create Project"
        • window

design_basic_settings.cmd/sh

Settings for the *.cmd files. Following settings are avaliable:

  • default for quartus pro version: Win OS: C:/intelFPGA_pro - Linux OS: ~/intelFPGA_pro
    • QUARTUS_VERSION: Current Quartus Version (quartus lite version → 19.1 / quartus pro version → 19.4). Don't change quartus version.
      • Intel Software will be searched in:
      • QUARTUS (optional for project creation and programming): %QUADIR%/%QUARTUS_VERSION%/quartus/

      • SDK (optional for software projects and programming): %QUADIR%/%QUARTUS_VERSION%/nios2eds/

    • QUARTUS_EDITION:
      • quartus lite version: Lite
      • quartus pro version: Pro
  • General Settings:
    • (optional) DO_NOT_CLOSE_SHELL: Shell do not closed after processing

Design Environment: Usage

...

  1. Run "create_project_win.cmd" or "create_project_linux.sh"
    • "<design_name>/settings/desgin_basic_settings.cmd/shtcl" will be copied to basefolder and configured automatically.
  2. select your Board in "Board selection" section.
  3. click on "Create project" to generate project for selected board

Manual configuration of the design basic settings (Note: batch/bash files works only in the basefolder of the project, use "create_project_win.cmd"/"create_project_linux.sh" or copy manually):

  •  Open “<design_name>/settings/ Open “design_basic_settings.cmd/.shtcl” with a text - editor:
     Set correct quartus environment:
           Example for quartus lite edition:
               @set QUADIRQUARTUS_PATH_WIN=C:/intelFPGA_lite (example for Win quartus installation path for Win OS)
               QUARTUS_PATH_LINUX=~/intelFPGA_lite (quartus installation path for Linux OS)
               @set QUARTUS_VERSION=19.1
               @set QUARTUS_EDITION=Lite
           Example for quartus pro edition:
               @set QUADIRQUARTUS_PATH_WIN=C:/intelFPGA_pro (example quartus installation path for Win OS)
               @set QUARTUS_PATH_LINUX=~/intelFPGA_pro (quartus installation path for Linux OS)
               QUARTUS_VERSION=19.4
               @set QUARTUS_EDITION=Pro
            Program Software settings are searched in (e.g. for Win OS):
               %QUADIR%%QUARTUS_PATH_WIN%/%QUARTUS_VERSION%/quartus/
               %QUADIR%%QUARTUS_PATH_WIN%/%QUARTUS_VERSION%/nios2eds/
            Example directory: C:/intelFPGA_pro/19.4/
            Attention: Scripts are supported only with predefined Quartus Versionquartus version!

Programming FPGA or flash memory:

  • General steps:
        1. Connect your Hardware-Modul to the PC via JTAG
        2. Open "create_project_win.cmd/create_project_linux.sh
        3. Select correct board in "Board selection" area
        4. Click on "Program device" button → The "Program device" window opens.
  • Program with prebuilt files: (download reference design with prebuilt files is required)
        4. Select "Program prebuilt file"
        5. Click on "Start program device" button
  • Program with own generated files:
        6. Select "Program other file"
        7. Click on "Browse ..." to choose path to your own generated file (supported file types: *.jic, *.pof, *.sof, *.elf)
        8. Click on "Start program device" button
  • Program device via Quartus Programmer:
        9. Click on  "Open quartus programmer GUI"
      10. Select from Programmer top menu: Edit → Hardware Setup, select "Arrow-USB-Blaster [USB0]" and close window
      11. Click on "Add File..." and choose correct programming file
      12. Enable "Program/Configure" checkbox and click on "Start" to program the device with the selected programming file

...

NameDescriptionValue
IDID to identify the board variant of the module series, used in TE-ScriptsNumber, should be unique in csv list
PRODIDProduct IDProduct Name
FAMILYFPGA family, used in Quartus and TE-Scriptsdevice family, which is available in Quartus, ex. MAX 10
DEVICEFPGA device, used in Quartus and TE-Scriptsdevice, which is available in Quartus, ex. 10M08SAU169C8G
SHORTNAMESubdirectory name, used for multi board projects to get correct sources and save prebuilt dataname to save prebuilt files or search for sources
FLASHTYPFlash typ used  for programming Devices via Quartus/LabTools

"<Flash Name from Quartus>|<SPI Interface>" or "NA" , NA is not defined

FLASH_SIZESize of Module Flashuse MB, for ex. "64MB" or "NA" if not available
DDR_DEVDDR ModuleDDR module name
DDR_SIZESize of Module DDRuse GB or MB, for ex. "2GB" or "512MB" or "NA" if not available
PCB_REVSupported PCB Revision"<supported PCB Revision>|<supported PCB Revision>", for ex. "REV02" or "REV03|REV02"
PCB_SIZESize of PCBform factor in cm
NOTESAdditional notes

SDC Conventions

  • All *.sdc from <design_name>/source_files/quartus/ are load into the quartus project on project creation.

...

List with commands to modify source files during project creation . (<design_name>/settings/mod_list.csv).

Qsys presets filepreset files:

Predefined settings for Qsys IP Components (<design_name>/settings/source_files/quartus/ip/presets_qsys/*.qprs)

HDL-Design

HDL files can be saved in the subfolder ". They will be copied to <design_name>/quartus/hdl/". They will be loaded automatically ip/presets folder on project creation. Available formats are *.vhd, *.v and *.sv.

 

...

Checklist / Troubleshoot

...

DateRevisionQuartus VersionAuthorsDescription

Page info
modified-date
modified-date
dateFormatyyyy-MM-dd

Page info
current-version
current-version
prefixv.

19.1 Lite

19.4 Pro

Page info
modified-user
modified-user

Work in process ...

  • update of TCL scripts for Quartus Version Quartus Prime update to 19.1 lite and 19.4 pro support
  • change changed to tcl/tk
2019-11-11


v.5


18.1Thomas Dück


  • add description for *.sh files (Linux OS)
2019-10-29v.418.1Thomas Dück
  • initial release

All

Page info
modified-users
modified-users


...