Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Template Revision 2.1 - on construction

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"

...

HTML
<!-- tables have all same width (web max 1200px and pdf full page(640px), flexible width or fix width on menu for single column can be used as before) -->
<style>
.wrapped{
  width: 100% !important;
  max-width: 1200px !important;
 }
</style>

...

hiddentrue
idComments

Important General Note:

...

Export PDF to download, if vivado revision is changed!

Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

...


Date

Version

Changes

Author

2021-06-01

3.1.7

  • carrier reference note

jh

2021-05-04

3.1.6

  • removed zynq_ from zynq_fsbl

ma

2021-04-28

3.1.5

  • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export

  • minor typos, formatting

ma

2021-04-27

3.1.4

  • Version History

    • changed from list to table

  • Design flow

    • removed step 5 from Design flow

    • changed link from TE Board Part Files to Vivado Board Part Flow

    • changed cmd shell from picture to codeblock

    • added hidden template for "Copy PetaLinux build image files", depending from hardware

    • added hidden template for "Power on PCB", depending from hardware

  • Usage update of boot process

  • Requirements - Hardware

    • added "*used as reference" for hardware requirements

  • all

    • placed a horizontal separation line under each chapter heading

    • changed title-alignment for tables from left to center

  • all tables

    • added "<project folder>\board_files" in Vivado design sources

ma


3.1.3

  • Design Flow

    • formatting

  • Launch

    • formatting

ma


3.1.2

  • minor typing corrections

  • replaced SDK by Vitis

  • changed from / to \ for windows paths

  • replaced <design name> by <project folder>

  • added "" for path names

  • added boot.src description

  • added USB for programming

ma


3.1.1

  • swapped order from prebuilt files

  • minor typing corrections

  • removed Win OS path length from Design flow, added as caution in Design flow

ma


3.1

  • Fix problem with pdf export and side scroll bar

  • update 19.2 to 20.2

  • add prebuilt content option



3.0

  • add fix table of content

  • add table size as macro

  • removed page initial creator



Custom_table_size_100
Page properties
hiddentrue
idComments

Important General Note:

  • Export PDF to download, if vivado revision is changed!

  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)

      • Figure template (note: inner scroll ignore/only only with drawIO object):

        Scroll Title
        anchorFigure_xyz
        titleText


        Scroll Ignore

        Create DrawIO object here: Attention if you copy from other page, use


        Scroll Only

        image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



      • Table template:

        • Layout macro can be use for landscape of large tables

        • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)


      • Scroll Title
        anchorTable_xyz
        titleText

        scroll-

...

Figure template (note: inner scroll ignore/only only with drawIO object):

Scroll Title
anchorFigure_xyz
titleText
Scroll Ignore

Create DrawIO object here: Attention if you copy from other page, use

Scroll Only

image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed

...

Table template:

  • Layout macro can be use for landscape of large tables
  • Set column width manually(can be used for small tables to fit over whole page) or leave empty (automatically)

...

anchorTable_xyz
titleText

...

Scroll pdf ignore

Table of contents

Table of Contents
outlinetrue

Overview

Page properties
hiddentrue
idComments

Notes :

TEC0330 SI5338 Configuration, DDR Configuration and PCIe Core Example Design.

Refer to http://trenz.org/tec0330-info for the current online version of this manual and other available documentation.

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design
Excerpt
  • MicroBlaze
  • I2C
  • Flash
  • FMeter
  • PCIe
  • SI5338
  • DDR3 ECC SODIMM (currently ECC disabled)

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download
  • add design changes on description

...

anchorTable_DRH
titleDesign Revision History

...

      • tablelayout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

...

      • Example

        Comment

...

      • 1

...

  • initial release
      • 2



  • ...


Overview

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue

...



Page properties
hiddentrue
idComments

Notes :

...

anchorTable_KI
titleKnown Issues

...

Disable ECC:

  • for Block Design MIG with AXI Interface, create 64Bit MIG
  • for RTL MIG with Native Interface, disable ECC on MIG configuration and use 72Bit for Data

...

Requirements

Software

...

hiddentrue
idComments

Notes :

...


This TEC0330 reference design implements the SI5338 Configuration, DDR Configuration and PCIe Core Example Design.

Refer to http://trenz.org/tec0330-info for the current online version of this manual and other available documentation.

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design


Excerpt
  • MicroBlaze
  • I2C
  • Flash
  • FMeter
  • PCIe
  • SI5338
  • DDR3 ECC SODIMM (currently ECC disabled)

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download
  • add design changes on description


Scroll Title
anchorTable_

...

DRH
title

...

Design Revision History

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

...

DateVivadoProject BuiltAuthorsDescription
2022-09-222021

...

.2

...


Waldemar Hanemann
  • version 2021

...

Hardware

  • .2

...

  • update
2018-10-302018.2TEC0330-test_board_noprebuilt-vivado_2018.2-build_03_20181030122205.zip
TEC0330-test_board-vivado_2018.2-build_03_20181030122147.zip
John Hartfiel
  • initial release


Release Notes and Know Issues

Basic description of TE Board Part Files is available on TE Board Part Files.

Complete List is available on <design name>/board_files/*_board_files.csv

Design supports following modules:

Page properties
hiddentrue
idComments
Notes :
    • list of software which was used to generate the design
    • add known Design issues and general notes for the current revision
    • do not delete known issue, add fixed version time stamp if  issue fixed


    Scroll Title
    anchorTable_

    ...

    KI
    title

    ...

    Known Issues

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    • DDR configured for AW24P7228BLK0M (8GB)

    Design supports following carriers:

    ...

    IssuesDescriptionWorkaroundTo be fixed version
    DDR3 ECC SODIMMDDR3 does not work with ECC enabled

    Disable ECC:

    • for Block Design MIG with AXI Interface, create 64Bit MIG
    • for RTL MIG with Native Interface, disable ECC on MIG configuration and use 72Bit for Data
    ---


    Requirements

    Software

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design


    Scroll Title
    anchorTable_SW
    titleSoftware

    ...

    •  TE0790 with TE0791 for CPLD or FPGA
    • Xilinx compatible JTAG programmer for FPGA

    ...

    • for example:
      • AW24P7228BLK0M (max. 8GB)

    Content

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Additional HW Requirements:

    ...

    anchorTable_AHW
    titleAdditional Hardware
    SoftwareVersionNote
    Vitis2021.2needed, Vivado is included into Vitis installation
    Clockbuilder Pro4.5(used in this design)optional


    Hardware

    Page properties
    hiddentrue
    idComments

    Notes :

    • content of the zip file
    • list of hardware which was used to generate the design
    • mark the module and carrier board, which was used tested with an *

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on <design name>/board_files/*_board_files.csv

    Design supports following modules:

    For general structure and of the reference design, see Project Delivery - Xilinx devices

    ...

    Scroll Title
    anchorTable_

    ...

    HWM
    title

    ...

    Hardware Modules

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashOthersNotes
    TEC0330-04-(330-2C)330_2REV04DDR3 ECC SODIMM32MB
    • DDR configured for AW24P7228BLK0M (8GB)
    TEC0330-05330_2REV05DDR3 ECC SODIMM32MB
    • DDR configured for AW24P7228BLK0M (8GB)
    TEC0330-05-S330_2REV05DDR3 ECC SODIMM32MB
    • DDR configured for AW24P7228BLK0M (8GB)


    Design supports following carriers:

    ...

    Scroll Title
    anchorTable_

    ...

    HWC
    title

    ...

    Hardware Carrier

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Carrier Model

    ...

    Prebuilt

    ...

    hiddentrue
    idComments

    Notes :

    ...

    Notes
    PC with PCIe Card slotCard need 3.3V from PCIe and 12V from ATX connector


    Additional HW Requirements:

    Scroll Title
    anchorTable_

    ...

    AHW
    title

    ...

    Additional Hardware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    File

    ...

    File-Extension

    ...

    Description

    ...

    Debian SD-Image

    ...

    *.img

    ...

    Debian Image for SD-Card

    ...

    MCS-File

    ...

    *.mcs

    ...

    Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

    ...

    MMI-File

    ...

    *.mmi

    ...

    File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

    ...

    Additional HardwareNotes
     JTAG Programmer
    •  TE0790 with TE0791 for CPLD or FPGA
    • Xilinx compatible JTAG programmer for FPGA
    DDR3 (204 Pin with ECC)
    • in this design used:
      • AW24P7228BLK0M (max. 8GB)


    Content

    Page properties
    hiddentrue
    idComments

    Notes :

    • content of the zip file

    For general structure and of the reference design, see Project Delivery - Xilinx devices

    Design Sources

    Scroll Title
    anchorTable_DS
    titleDesign sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    Vivado<project folder>\block_design
    <project folder>\constraints
    <project folder>\ip_lib
    <project folder>\board_files
    Vivado Project will be generated by TE Scripts
    Vitis<project folder>\sw_libAdditional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation


    Additional Sources

    ...

    SREC-File

    ...

    *.srec

    ...

    Scroll Title
    anchorTable_

    ...

    ADS
    title

    ...

    Additional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Type

    ...

    Location

    ...

    Notes

    ...

    MCS-File

    ...

    *.mcs

    ...

    Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

    ...

    MMI-File

    ...

    *.mmi

    ...

    File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

    ...

    SREC-File

    ...

    *.srec

    ...

    Converted Software Application for MicroBlaze Processor Systems

    SI5338

    <project folder>/misc/Si5338

    SI5338 Project with current PLL Configuration


    Prebuilt

    Page properties
    hiddentrue
    idComments

    Notes :

    • prebuilt files
    • Template Table:

      • Scroll Title
        anchorTable_PF
        titlePrebuilt files

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        File

        File-Extension

        Description

        BIF-File*.bifFile with description to generate Bin-File
        BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
        BIT-File*.bitFPGA (PL Part) Configuration File
        DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

        Debian SD-Image

        *.img

        Debian Image for SD-Card

        Diverse Reports---Report files in different formats
        Hardware-Platform-Specification-Files*.hdfExported Vivado Hardware Specification for SDK/HSI and PetaLinux
        LabTools Project-File*.lprVivado Labtools Project File

        MCS-File

        *.mcs

        Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

        MMI-File

        *.mmi

        File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

        OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
        Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

        SREC-File

        *.srec

        Converted Software Application for MicroBlaze Processor Systems




    Scroll Title
    anchorTable_PF
    titlePrebuilt files (only on ZIP with prebult content)

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File

    File-Extension

    Description

    BIT-File*.bitFPGA (PL Part) Configuration File
    DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface
    Diverse Reports---Report files in different formats
    Hardware-Platform-Specification-Files*.hdfExported Vivado Hardware Specification for SDK/HSI and PetaLinux
    LabTools Project-File*.lprVivado Labtools Project File

    MCS-File

    *.mcs

    Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

    MMI-File

    *.mmi

    File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

    Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems


    Download

    Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

    Page properties
    hiddentrue
    idComments


    Reference Design is available on:

    Design Flow

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Notes :
    • Basic Design Steps

    • Add/ Remove project specific description


    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first lunch.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also: Xilinx Development Tools

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    Note

    Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")


    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

      Code Block
      languagebash
      themeMidnight
      title_create_win_setup.cmd/_create_linux_setup.sh
      ------------------------Set design paths----------------------------
      -- Run Design with: _create_win_setup
      -- Use Design Path: <absolute project path>
      --------------------------------------------------------------------
      -------------------------TE Reference Design---------------------------
      --------------------------------------------------------------------
      -- (0)  Module selection guide, project creation...prebuilt export...
      -- (1)  Create minimum setup of CMD-Files and exit Batch
      -- (2)  Create maximum setup of CMD-Files and exit Batch
      -- (3)  (internal only) Dev
      -- (4)  (internal only) Prod
      -- (c)  Go to CMD-File Generation (Manual setup)
      -- (d)  Go to Documentation (Web Documentation)
      -- (g)  Install Board Files from Xilinx Board Store (beta)
      -- (a)  Start design with unsupported Vivado Version (beta)
      -- (x)  Exit Batch (nothing is done!)
      ----
      Select (ex.:'0' for module selection guide):


    2. Press 0 and enter to start "Module Selection Guide"

    3. (optional Win OS) Generate Virtual Drive or use short directory  for the reference design (for example x:\<design name>)
    4. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
      • optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

        Note

        Note: Select correct one, see also Vivado Board Part Flow


    5. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
      TE::hw_build_design -export_prebuilt


      Info

      Using Vivado GUI is the same, except file export to prebuilt folder.


    6. Generate Programming Files with Vitis

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
      TE::sw_run_vitis -all
      TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


      Note

      TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis


    7. (Optional) BlockRam Firmware Update

      1. Copy "<project folder>\prebuilt\software\<short name>\spi_bootloader.elf" into  "<project folder>\firmware\microblaze_0\"

      2. Copy "<project folder>\workspace\sdk\scu\Release\scu.elf" into "\firmware\microblaze_mcs_0\"

      3. Regenerate Vivado Project or Update Bitfile only with "spi_bootloader.elf" and "scu_te0712.elf"

        Code Block
        languagebash
        themeMidnight
        TE::hw_build_design -export_prebuilt
        TE::sw_run_vitis -all


    Launch

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Programming

    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure


    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.


    Xilinx documentation for programming and debugging: Vivado/SDK/SDSoC-Xilinx Software Programming and Debugging

    Get prebuilt boot binaries

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
    2. Press 0 and enter to start "Module Selection Guide"
      1. Select assembly version
      2. Validate selection
      3. Select create and open delivery binary folder

        Info

        Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generate


    QSPI-Boot mode

    Download

    Reference Design is only usable with the specified Vivado/SDK/PetaLinux/SDx version. Do never use different Versions of Xilinx Software for the same Project.

    Page properties
    hiddentrue
    idComments

    Reference Design is available on:

    Design Flow

    Page properties
    hiddentrue
    idComments
    Notes :
    • Basic Design Steps

    • Add/ Remove project specific description

    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first lunch.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/SDK GUI.  For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    1. _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:
      Image Removed
    2. Press 0 and enter for minimum setup
    3. (optional Win OS) Generate Virtual Drive or use short directory  for the reference design (for example x:\<design name>)
    4. Create Project
      1. Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"
        Note: Select correct one, see TE Board Part Files
    5. Create HDF and export to prebuilt folder
      1. Run on Vivado TCL: TE::hw_build_design -export_prebuilt
        Note: Script generate design and export files into \prebuilt\hardware\<short dir>. Use GUI is the same, except file export to prebuilt folder
    6. Generate Programming Files with HSI/SDK
      1. Start with TE Scripts on Vivado TCL: TE::sw_run_hsi
        (optional) Start SDK with Vivado GUI or start with TE Scripts on Vivado TCL: TE::sw_run_sdk to generate files manually
        Note: See SDK Projects
      2. (optional ) Copy "prebuilt\software\<short dir>\srec_spi_bootloader.elf" into "\firmware\microblaze_0" (replace shipped one) and regenerate design again (HW (Step5)+SW(Step6 only a.))
      3. (optional ) for SI5338 reprogramming with  MCS:
        1.   Start SDK with Vivado GUI or start with TE Scripts on Vivado TCL: TE::sw_run_sdk to generate files manually
        2. New Application with Project Name "SCU" and Processor "microblaze_mcs_0_microblaze_I", select TE Application "SCU-Firmware"
        3. Create elf file
        4. Copy "workspace\sdk\SCU\<release or debug>\SCU.elf" into "\firmware\microblaze_mcs_0" (replace shipped one) and regenerate design again (HW (Step5)+SW(Step6 only a.))

    Launch

    Programming

    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure
    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Xilinx documentation for programming and debugging: Vivado/SDK/SDSoC-Xilinx Software Programming and Debugging

    ...

    1. Connect JTAG and Power ON PC
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"
    3. Type on Vivado TCL Console:

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script programs u-boot.mcs onto QSPI flash)
      TE::pr_program_flash

    ...

    1.  -swapp hello_tec0330
      


    2. Reboot PC

    SD

    ...

    -Boot mode

    Not used on this Example.

    JTAG

    • Connect Vivado HW Manager and program FPGA

    ...

    Usage

    1. Prepare HW like described on section Programming
    2. Power On PCB
      Note: 1. FPGA Load Bitfile  into FPGA,MCS configure SI5338 and starts microblaze design, modified

    ...

    1. SPI Bootloader to load hello_tec0330 application from QSPI into DDR (Depends on linker script)

    JTAG/UART Console:

    • Launch XSCT or the XSDB console on

    ...

    • Vitis:
      • type: connect
      • type: targets -set -filter {name =~ "MicroBlaze Debug

    ...

      • *"} -index 0
      • type: jtagterminal -start
      • Separate console starts printing out four internal frequencies(can also be seen in the hardware manager) and "Hello Trenz Module" in a loop:
        Image Added

    ...

    Vivado HW Manager:

    Page properties
    hiddentrue
    idComments

    Note:

    • Add picture of HW Manager

    • add notes for the signal either groups or topics, for example:

      Control:

      • add controllable IOs with short notes..

      Monitoring:

      • add short notes for signals which will be monitored only

      SI5338_CLK0 Counter: 

      Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder).Set radix from VIO signals to unsigned integer.Note: Frequency Counter is inaccurate and displayed unit is Hz
    1. Open Vivado HW Manager
    2. Add VIO to Dashboard
    3. Set Radix to unsigned integer for FMeterCLKs (fm_*). Note measurement is not accurate
    4. Control:
      1. MCS Reset
      2. MIG Reset
    5. Read: SI5338 CLKs (Unit Hz), PCIe Core User Link Up signal, MIG MMCM Lock signal, MIG Init Calibration Done signal, PCB Revision ID
      Image Modified

     PC:

    • Use for example PCI-Z (Win) or KInfoCenter (Linux) to detect PCIe Card

    Image Modified

    System Design - Vivado

    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    titleBlock Design
    Image Modified

    Constrains

    Basic module constrains

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    #
    # Default common settings that do not depend assembly variant
    #
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property BITSTREAM.CONFIG.CONFIGRATE 66 [current_design]
    
    set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR YES [current_design]
    set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
    set_property BITSTREAM.CONFIG.M1PIN PULLNONE [current_design]
    set_property BITSTREAM.CONFIG.M2PIN PULLNONE [current_design]
    set_property BITSTREAM.CONFIG.M0PIN PULLNONE [current_design]
    
    set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design]


    Code Block
    languageruby
    title_i_common.xdc
    #
    #
    #
    set_property BITSTREAM.CONFIG.UNUSEDPIN PULLDOWN [current_design]

    Design specific constrain

    Code Block
    languageruby
    title_i_io.xdc
    #----------
    #IIC to CPLD
    set_property PACKAGE_PIN W29 [get_ports SCF_0_cpld_25_scl]
    set_property PACKAGE_PIN W26 [get_ports SCF_0_cpld_19_oe]
    set_property PACKAGE_PIN V29 [get_ports SCF_0_cpld_24_sda]
    set_property IOSTANDARD LVCMOS18 [get_ports SCF_0_cpld_25_scl]
    set_property IOSTANDARD LVCMOS18 [get_ports SCF_0_cpld_19_oe]
    set_property IOSTANDARD LVCMOS18 [get_ports SCF_0_cpld_24_sda]
    #----------
    #PCIe
    set_property PACKAGE_PIN E33 [get_ports FEX_4_N]
    set_property IOSTANDARD LVCMOS18 [get_ports FEX_4_N]
    set_property PACKAGE_PIN AD6 [get_ports {CLK_PCIe_100MHz_clk_p[0]}]
    #todo check auto placement:
    set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets msys_i/axi_pcie3_0/inst/pcie3_ip_i/inst/gt_top_i/pipe_wrapper_i/pipe_lane[0].gt_wrapper_i/pipe_txoutclk_out]
    #----------
    #Revision ID
    set_property PACKAGE_PIN AP27 [get_ports {REV_ID[0]}]
    set_property PACKAGE_PIN AN27 [get_ports {REV_ID[1]}]
    set_property PACKAGE_PIN AP26 [get_ports {REV_ID[2]}]
    set_property PACKAGE_PIN AP25 [get_ports {REV_ID[3]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {REV_ID[*]}]
    #----------
    #QSPI
    set_property PACKAGE_PIN AL33 [get_ports {spi_rtl_ss_io[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {spi_rtl_ss_io[0]}]
    set_property PACKAGE_PIN AN33 [get_ports spi_rtl_io0_io]
    set_property PACKAGE_PIN AN34 [get_ports spi_rtl_io1_io]
    set_property PACKAGE_PIN AK34 [get_ports spi_rtl_io2_io]
    set_property PACKAGE_PIN AL34 [get_ports spi_rtl_io3_io]
    set_property IOSTANDARD LVCMOS18 [get_ports spi_rtl_io0_io]
    set_property IOSTANDARD LVCMOS18 [get_ports spi_rtl_io1_io]
    set_property IOSTANDARD LVCMOS18 [get_ports spi_rtl_io2_io]
    set_property IOSTANDARD LVCMOS18 [get_ports spi_rtl_io3_io]
    #----------
    #CLKS
    ##SI5338_0_DDR3_CLK #diff 1.5V AG17/AH17
    set_property PACKAGE_PIN AG17 [get_ports {SI5338_0_DDR3_CLK_clk_p}]
    set_property IOSTANDARD DIFF_SSTL15 [get_ports {SI5338_0_DDR3_CLK_clk_p}]
    ##SI5338_1_MGTCLK_5338_C #diff MGT 1.8V AB6/AB5
    set_property PACKAGE_PIN AB6 [get_ports {SI5338_1_MGTCLK_5338_C_clk_p[0]}]
    ###SI5338_3_LMK_CLK #diff MGT 1.8V to LMK CLKin1
    ##SI5338_4_MGTCLK2_5338_C #diff MGT 1.8V H6/H5
    set_property PACKAGE_PIN H6 [get_ports {SI5338_4_MGTCLK2_5338_C_clk_p[0]}]
    ##LMK_0_CLK_SYNTH_DCLKout0 #diff  1.8V AD29/AE29
    set_property PACKAGE_PIN AD29 [get_ports {LMK_0_CLK_SYNTH_DCLKout0_clk_p[0]}]
    set_property IOSTANDARD LVDS [get_ports {LMK_0_CLK_SYNTH_DCLKout0_clk_p[0]}]
    set_property DIFF_TERM TRUE [get_ports {LMK_0_CLK_SYNTH_DCLKout0_clk_p[0]}]
    ##LMK_1_CLK_SYNTH_DCLKout1 #diff  1.8V AE31/AF31
    set_property PACKAGE_PIN AE31 [get_ports {LMK_1_CLK_SYNTH_DCLKout1_clk_p[0]}]
    set_property IOSTANDARD LVDS [get_ports {LMK_1_CLK_SYNTH_DCLKout1_clk_p[0]}]
    set_property DIFF_TERM TRUE [get_ports {LMK_1_CLK_SYNTH_DCLKout1_clk_p[0]}]
    ###LMK_2_CLKIN_5338_P #diff  1.8Vto Si5338 IN1/IN2
    ###LMK_3_CLK_SYNTH_SDCLKout3 #diff  1.8Vto N.C.
    ###LMK_4_CLK_SYNTH_SDCLKout4 #diff MGT 1.8V T6/T5
    ###LMK_5_CLK_SYNTH_SDCLKout5 #diff  1.8Vto N.C.
    ###LMK_6_CLK_SYNTH_SDCLKout6 #diff  1.8Vto N.C.
    ###LMK_7_CLK_SYNTH_SDCLKout7 #diff MGT 1.8V F6/F5
    ###LMK_8_CLK_SYNTH_SDCLKout8 #diff  1.8Vto N.C.
    ###LMK_9_CLK_SYNTH_SDCLKout9 #diff  1.8Vto N.C.
    ###LMK_10_CLK_SYNTH_SDCLKout10 #diff  1.8Vto N.C.
    ###LMK_11_CLK_SYNTH_SDCLKout11 #diff  1.8Vto N.C.
    ###LMK_12_CLK_SYNTH_SDCLKout12 #diff  1.8Vto N.C.
    ###LMK_13_CLK_SYNTH_SDCLKout13 #diff  1.8Vto N.C.
    
    
    
    
    #----------
    
    

    Software Design - SDK/HSI

    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For SDK project creation, follow instructions from:

    SDK Projects

    Application

    Template location: ./sw_lib/sw_apps/

    hello_tec0330

    • Xiline Hello World as endless loop

    scu

    • Si5338 I2C Configuration via MCS.

    srec_spi_bootloader

    • modified Xilinx SREC Bootloade
      • modified Files: blconfig.h, bootloader.c

      • modified  xilisf_v5_11: xilisf.mld (default Flash Typ:5)

    Additional Software

    Page properties
    hiddentrue
    idComments
    Note:
    • Add description for other Software, for example SI CLK Builder ...
    • SI5338 and SI5345 also Link to:

    SI5338

    File location <design name>/misc/Si5338/RegisterMap.txt

    General documentation how you work with these project will be available on Si5338

    Appx. A: Change History and Legal Notices

    Document Change History

    To get content of older revision  got to "Change History"  of this page and select older document revision number.

    Page properties
    hiddentrue
    idComments
    • Note this list must be only updated, if the document is online on public doc!
    • It's semi automatically, so do following
      • Add new row below first

      • Copy "Page Information Macro(date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

      • Metadata is only used of compatibility of older exports


    Scroll Title
    anchorTable_dch
    titleDocument change history.

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths2*,*,3*,4*
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateDocument Revision

    Authors

    Description

    Page info
    modified-date
    modified-date
    dateFormatyyyy-MM-dd

    Page info
    infoTypeCurrent version
    dateFormatyyyy-MM-dd
    prefixv.
    typeFlat

    Page info
    infoTypeModified by
    dateFormatyyyy-MM-dd
    typeFlat

    • 2018.2 release
    --all

    Page info
    infoTypeModified users
    dateFormatyyyy-MM-dd
    typeFlat

    --


    Legal Notices

    Include Page
    IN:Legal Notices
    IN:Legal Notices




    Scroll Only


    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 0%;
    }</style>
    



    Scroll pdf ignore


    Custom_fix_page_content

    Table of contents

    Table of Contents
    outlinetrue