Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"


Date

Version

Changes

Author

2022-08-24

3.1.11

  • Modification from link "available short link"

ma

2022-01-25

3.1.10

  • removed u-boot.dtb from QSPI-Boot mode and SD-Boot mode. Is implemented in BOOT.bin

  • corrected Boot Source File in Boot Script-File

ma

2022-01-14

3.1.9

  • extended notes for microblaze boot process with linux

  • add u.boot.dtb to petalinux notes

  • add dtb to prebuilt content

  • replace 20.2 with 21.2

jh

2021-06-28

3.1.8

  • added boot process for Microblaze

  • minor typos, formatting

ma

2021-06-01

3.1.7

  • carrier reference note

jh

2021-05-04

3.1.6

  • removed zynq_ from zynq_fsbl

ma

2021-04-28

3.1.5

  • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export

  • minor typos, formatting

ma

2021-04-27

3.1.4

  • Version History

    • changed from list to table

  • Design flow

    • removed step 5 from Design flow

    • changed link from TE Board Part Files to Vivado Board Part Flow

    • changed cmd shell from picture to codeblock

    • added hidden template for "Copy PetaLinux build image files", depending from hardware

    • added hidden template for "Power on PCB", depending from hardware

  • Usage update of boot process

  • Requirements - Hardware

    • added "*used as reference" for hardware requirements

  • all

    • placed a horizontal separation line under each chapter heading

    • changed title-alignment for tables from left to center

  • all tables

    • added "<project folder>\board_files" in Vivado design sources

ma


3.1.3

  • Design Flow

    • formatting

  • Launch

    • formatting

ma


3.1.2

  • minor typing corrections

  • replaced SDK by Vitis

  • changed from / to \ for windows paths

  • replaced <design name> by <project folder>

  • added "" for path names

  • added boot.src description

  • added USB for programming

ma


3.1.1

  • swapped order from prebuilt files

  • minor typing corrections

  • removed Win OS path length from Design flow, added as caution in Design flow

ma


3.1

  • Fix problem with pdf export and side scroll bar

  • update 19.2 to 20.2

  • add prebuilt content option



3.0

  • add fix table of content

  • add table size as macro

  • removed page initial creator



Custom_table_size_100

Page properties
hiddentrue
idComments

Important General Note:

  • Export PDF to download, if vivado revision is changed!

  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)

      • Figure template (note: inner scroll ignore/only only with drawIO object):

        Scroll Title
        anchorFigure_xyz
        titleText


        Scroll Ignore

        Create DrawIO object here: Attention if you copy from other page, use


        Scroll Only

        image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



      • Table template:

        • Layout macro can be use for landscape of large tables

        • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)


      • Scroll Title
        anchorTable_xyz
        titleText

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        Example

        Comment

        1

        2



  • ...

Overview

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue


Page properties
hiddentrue
idComments

Notes :

ZynqMP PS Design with Linux Example and simple frequency counter to measure SI5338 Reference CLK with Vivado HW-Manager.
Wiki Resources page: http://trenz.org/te0821-info

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design


Excerpt
  • Vitis/Vivado 2021.2.1

  • PetaLinux

  • SD

  • ETH

  • USB

  • I2C

  • RTC

  • FMeter

  • MAC from EEPROM

  • User LED

  • Modified FSBL for SI5338 programming

  • Special FSBL for QSPI programming

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download

  • add design changes on description


Scroll Title
anchorTable_DRH
title-alignmentcenter
titleDesign Revision History

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Date

Vivado

Project Built

Authors

Description

2022-1112-07062021.2.1TE0821-testCR00200_boarddemo-vivado_2021.2-build_20_2022110711564720221206113615.zip
TE0821-testCR00200_boarddemo_noprebuilt-vivado_2021.2-build_20_2022110711564720221206113615.zip
Manuela Strücker
  • bugfix uncomment block design modifications in mod_bd.tcl
  • added jtag2axi for test purposes

2022-10-24

2021.2.1

TE0821-test_board-vivado_2021.2-build_19_20221024161132.zip
TE0821-test_board_noprebuilt-vivado_2021.2-build_19_20221024161132.zip

Manuela Strücker

  • Release Vivado 2021.2.1

  • new variants

  • script update

2021-10-21

2020.2

TE0821-test_board-vivado_2020.2-build_8_20211013085513.zip
TE0821-test_board_noprebuilt-vivado_2020.2-build_8_20211013085523.zip

John Hartfiel

  • Replace 19.2 FSBL with 20.2 FSBL version

  • bugfix template, to support different DDR size

  • bugfix 2GB linux image

2021-08-24

2020.2

TE0821-test_board_noprebuilt-vivado_2020.2-build_7_20210824103059.zip
TE0821-test_board-vivado_2020.2-build_7_20210824103042.zip

Mohsen Chamanbaz

  • startup application added

  • webfwu application added

2021-08-17

2020.2

TE0821-test_board_noprebuilt-vivado_2020.2-build_7_20210817112843.zip
TE0821-test_board-vivado_2020.2-build_7_20210817112826.zip

Mohsen Chamanbaz

  • 2020.2 release

2020-10-06

2019.2

TE0821-test_board_noprebuilt-vivado_2019.2-build_15_20201006104048.zip
TE0821-test_board-vivado_2019.2-build_15_20201006103533.zip

John Hartfiel

  • new assembly variants

2020-05-29

2019.2

TE0821-test_board_noprebuilt-vivado_2019.2-build_12_20200529054245.zip
TE0821-test_board-vivado_2019.2-build_12_20200529054223.zip

John Hartfiel

  • initial release

Release Notes and Know Issues

Page properties
hiddentrue
idComments

Notes :

  • add known Design issues and general notes for the current revision

    • initial release


    Release Notes and Know Issues

    Page properties
    hiddentrue
    idComments

    Notes :

    • add known Design issues and general notes for the current revision

    • do not delete known issue, add fixed version time stamp if  issue fixed


    Scroll Title
    anchorTable_KI
    title-alignmentcenter
    titleKnown Issues

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Issues

    Description

    Workaround

    To be fixed version

    ----


    Requirements

    Software

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design


    Scroll Title
    anchorTable_SW
    title-alignmentcenter
    titleSoftware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Software

    Version

    Note

    Vitis

    2021.2.1

    needed

    Vivado is included into Vitis installation

    PetaLinux

    2021.2

    needed

    SI ClockBuilder Pro

    ---

    optional


    Hardware

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of hardware which was used to generate the design

    • mark the module and carrier board, which was used tested with an *

    Design supports following modules:

    Scroll Title
    anchorTable_HWM
    title-alignmentcenter
    titleHardware Modules

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue


    Module Model

    PCB Revision Support

    Notes

    CR00200-01*  

    REV01    

    NA

    *used as reference

    Design supports following carriers:

    do not delete known issue, add fixed version time stamp if  issue fixed

    Scroll Title
    anchorTable_KIHWC
    title-alignmentcenter
    titleKnown IssuesHardware Carrier

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    IssuesCarrier Model

    Description

    Workaround

    To be fixed version

    Xilinx SoftwareIncompatibility of board files for ZynqMP with eMMC activated for Vivado versions below/equal to 2021.2 and 2021.2.1 patch, see Xilinx Forum Requestuse corresponding board files for the Vivado versions--

    Requirements

    Software

    Page properties
    hiddentrue
    idComments

    Notes :

    Notes

    TE0821-01-3BE21ML*

    TEB0707-02*

    *used as reference

    Additional HW Requirements:

    list of software which was used to generate the design

    Scroll Title
    anchorTable_SWAHW
    title-alignmentcenter
    titleSoftwareAdditional Hardware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    SoftwareAdditional Hardware

    Version

    Note

    Vitis

    2021.2.1

    needed

    Vivado is included into Vitis installation

    PetaLinux

    2021.2

    needed

    SI ClockBuilder Pro

    ---

    optional

    Hardware

    Notes

    USB Cable for JTAG/UART

    Check Carrier Board and Programmer for correct type

    XMOD Programmer

    Carrier Board dependent, only if carrier has no own FTDI

    Cooler

    It's recommended to use cooler on ZynqMP device

    *used as reference

    Content

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of hardware which was used to generate the design

    • mark the module and carrier board, which was used tested with an *

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on "<project folder>\board_files\*_board_files.csv"

    Design supports following modules:

    • content of the zip file

    For general structure and of the reference design, see Project Delivery - Xilinx devices

    Design Sources

    Scroll Title
    anchorTable_DS
    Scroll Title
    anchorTable_HWM
    title-alignmentcenter
    titleHardware ModulesDesign sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Others

    Type

    Location

    Module Model

    Board Part Short Name

    PCB Revision Support

    DDR

    QSPI Flash

    EMMC

    Notes

    TE0821-01-2AE31KA

    2cg_1e_4gb     

    REV01    

    4GB      

    128MB     

    64GB       

    NA                         

    NA                                 

    TE0821-01-3BI21FA   

    3eg_1i_2gb     

    REV01    

    2GB      

    128MB     

    8GB        

    NA                         

    NA                                 

    TE0821-01-3BI21FL   

    3eg_1i_2gb     

    REV01    

    2GB      

    128MB     

    8GB        

    2.5 mm connectors        

    NA                                 

    TE0821-01-3BE21FA   

    3eg_1e_2gb     

    REV01    

    2GB      

    128MB     

    8GB        

    NA                         

    NA                                 

    TE0821-01-3BE21FL*  

    3eg_1e_2gb     

    REV01    

    2GB      

    128MB     

    8GB        

    2.5 mm connectors        

    NA                                 

    TE0821-01-3BE21FC   

    3eg_1e_2gb     

    REV01    

    2GB      

    128MB     

    8GB        

    NA                         

    without encryption/NCNR          

    TE0821-01-3AE31KA    

    3cg_1e_4gb     

    REV01    

    4GB      

    128MB     

    64GB       

    NA                         

    NA

    TE0821-01-4DE31FL    

    4ev_1e_4gb     

    REV01    

    4GB      

    128MB     

    8GB        

    2.5 mm connectors        

    NA
    TE0821-01-3BE21MA3eg_1e_2gbREV01

    2GB      

    128MB8GB 

    NA                         

    NA
    TE0821-01-3BE21ML3eg_1e_2gbREV01

    2GB      

    128MB8GB 

    2.5 mm connectors        

    NA

    *used as reference

    Design supports following carriers:

    Scroll Title
    anchorTable_HWC
    title-alignmentcenter
    titleHardware Carrier

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Carrier Model

    Notes

    TE0701

    TE0703*

    TE0705

    TE0706

    TEBA0841

    • Important: See restrictions on usage with 7 Serie Carriers: 4 x 5 SoM Carriers

    • No SD Slot available, pins goes to Pin Header

    • For TEBA0841 REV01, please contact TE support

    Vivado

    <project folder>\block_design
    <project folder>\constraints
    <project folder>\ip_lib
    <project folder>\board_files

    Vivado Project will be generated by TE Scripts

    Vitis

    <project folder>\sw_lib

    Additional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation

    PetaLinux

    <project folder>\os\petalinux

    PetaLinux template with current configuration


    Additional Sources

    Scroll Title
    anchorTable_ADS
    title-alignmentcenter
    titleAdditional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Type

    Location

    Notes

    SI5338

    <project folder>\misc\PLL\Si5338_B

    SI5338 Project with current PLL Configuration

    init.sh

    <project folder>\misc\sd

    Additional Initialization Script for Linux



    Prebuilt

    Page properties
    hiddentrue
    idComments

    Notes :

    • prebuilt files

    • Template Table:


      • Scroll Title
        anchorTable_PF
        title-alignmentcenter
        titlePrebuilt files

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        File

        File-Extension

        Description

        BIF-File*.bifFile with description to generate Bin-File
        BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
        BIT-File*.bitFPGA (PL Part) Configuration File
        Boot Script-File*.scr

        Distro Boot Script file

        DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

        Debian SD-Image

        *.img

        Debian Image for SD-Card

        Diverse Reports---Report files in different formats
        Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
        Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
        LabTools Project-File*.lprVivado Labtools Project File

        MCS-File

        *.mcs

        Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

        MMI-File

        *.mmi

        File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

        OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
        Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

        SREC-File

        *.srec

        Converted Software Application for MicroBlaze Processor Systems

    *used as reference

    Additional HW Requirements:



    *used as reference

    Scroll Title
    anchorTable_AHWPF
    title-alignmentcenter
    titleAdditional HardwarePrebuilt files (only on ZIP with prebult content)

    scroll-scroll-tablelayout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Additional Hardware

    Notes

    USB Cable for JTAG/UART

    Check Carrier Board and Programmer for correct type

    XMOD Programmer

    Carrier Board dependent, only if carrier has no own FTDI

    Cooler

    It's recommended to use cooler on ZynqMP device

    Content

    Page properties
    hiddentrue
    idComments

    Notes :

    • content of the zip file

    For general structure and of the reference design, see Project Delivery - Xilinx devices

    Design Sources

    Scroll Title
    anchorTable_DS
    title-alignmentcenter
    titleDesign sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Type

    Location

    Notes

    Vivado

    <project folder>\block_design
    <project folder>\constraints
    <project folder>\ip_lib
    <project folder>\board_files

    Vivado Project will be generated by TE Scripts

    Vitis

    <project folder>\sw_lib

    Additional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation

    PetaLinux

    <project folder>\os\petalinux

    PetaLinux template with current configuration

    File

    File-Extension

    Description

    BIF-File

    *.bif

    File with description to generate Bin-File

    BIN-File

    *.bin

    Flash Configuration File with Boot-Image (Zynq-FPGAs)

    BIT-File

    *.bit

    FPGA (PL Part) Configuration File

    Boot Script-File*.scr

    Distro Boot Script file

    DebugProbes-File

    *.ltx

    Definition File for Vivado/Vivado Labtools Debugging Interface

    Diverse Reports

    ---

    Report files in different formats

    Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
    Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux

    LabTools Project-File

    *.lpr

    Vivado Labtools Project File

    OS-Image

    *.ub

    Image with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)

    Software-Application-File

    *.elf

    Software Application for Zynq or MicroBlaze Processor Systems


    Download

    Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

    Page properties
    hiddentrue
    idComments

    Reference Design is available on:

    Design Flow

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Additional Sources

    Scroll Title
    anchorTable_ADS
    title-alignmentcenter
    titleAdditional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Type

    Location

    Notes

    SI5338

    <project folder>\misc\PLL\Si5338_B

    SI5338 Project with current PLL Configuration

    init.sh

    <project folder>\misc\sd

    Additional Initialization Script for Linux

    Prebuilt

    Page properties
    hiddentrue
    idComments

    Notes :

  • prebuilt files

  • Template Table:

    Scroll Title
    anchorTable_PF
    title-alignmentcenter
    titlePrebuilt files
    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File

    File-Extension

    Description

    BIF-File*.bifFile with description to generate Bin-FileBIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)BIT-File*.bitFPGA (PL Part) Configuration FileBoot Script-File*.scr

    Distro Boot Script file

    DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

    Debian SD-Image

    *.img

    Debian Image for SD-Card

    Diverse Reports---Report files in different formatsDevice Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinuxLabTools Project-File*.lprVivado Labtools Project File

    MCS-File

    *.mcs

    Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

    MMI-File

    *.mmi

    File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

    OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

    SREC-File

    *.srec

    Converted Software Application for MicroBlaze Processor Systems

    Scroll Title
    anchorTable_PF
    title-alignmentcenter
    titlePrebuilt files (only on ZIP with prebult content)

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File

    File-Extension

    Description

    BIF-File

    *.bif

    File with description to generate Bin-File

    BIN-File

    *.bin

    Flash Configuration File with Boot-Image (Zynq-FPGAs)

    BIT-File

    *.bit

    FPGA (PL Part) Configuration File

    Boot Script-File*.scr

    Distro Boot Script file

    DebugProbes-File

    *.ltx

    Definition File for Vivado/Vivado Labtools Debugging Interface

    Diverse Reports

    ---

    Report files in different formats

    Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux

    LabTools Project-File

    *.lpr

    Vivado Labtools Project File

    OS-Image

    *.ub

    Image with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)

    Software-Application-File

    *.elf

    Software Application for Zynq or MicroBlaze Processor Systems

    Download

    Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

    Page properties
    hiddentrue
    idComments

    Reference Design is available on:

    Design Flow

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue
    • Basic Design Steps

    • Add/ Remove project specific description


    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    Note

    Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

      Code Block
      languagebash
      themeMidnight
      title_create_win_setup.cmd/_create_linux_setup.sh
      ------------------------Set design paths----------------------------
      -- Run Design with: _create_win_setup
      -- Use Design Path: 
    Page properties
    hiddentrue
    idComments

    Notes :

    • Basic Design Steps

    • Add/ Remove project specific description

    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    Note

    Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

      Code Block
      languagebash
      themeMidnight
      title_create_win_setup.cmd/_create_linux_setup.sh
      ------------------------Set design paths----------------------------
      -- Run Design with: _create_win_setup
      -- Use Design Path: <absolute project path>
      --------------------------------------------------------------------
      -------------------------TE Reference Design---------------------------
      --------------------------------------------------------------------
      -- (0)  Module selection guide, project creation...prebuilt export...
      -- (1)  Create minimum setup of CMD-Files and exit Batch
      -- (2)  Create maximum setup of CMD-Files and exit Batch
      -- (3)  (internal only) Dev
      -- (4)  (internal only) Prod
      -- (c)  Go to CMD-File Generation (Manual setup)
      -- (d)  Go to Documentation (Web Documentation)
      -- (g)  Install Board Files from Xilinx Board Store (beta)
      -- (a)  Start design with unsupported Vivado Version (beta)
      -- (x)  Exit Batch (nothing is done!)
      ----
      Select (ex.:'0' for module selection guide):


    2. Press 0 and enter to start "Module Selection Guide"

    3. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.

      • optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

        Note

        Note: Select correct one, see also Vivado Board Part Flow


    4. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
      TE::hw_build_design -export_prebuilt


      Info

      Using Vivado GUI is the same, except file export to prebuilt folder.


    5. Create and configure your PetaLinux project with exported .xsa-file, see PetaLinux KICKstart

      • use TE Template from "<project folder>\os\petalinux"

      • use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . Note: HW Export from Vivado GUI creates another path as default workspace.

      • The build images are located in the "<plnx-proj-root>/images/linux" directory

    6. Configure the boot.scr file as needed, see Distro Boot with Boot.scr

    7. Copy PetaLinux build image files to prebuilt folder

      • copy u-boot.elf, u-boot.dtb, system.dtb, image.ub, bl31.elf and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        Info

        "<project folder>\prebuilt\os\petalinux\<ddr size>" or "<project folder>\prebuilt\os\petalinux\<short name>"


        Page properties
        hiddentrue
        idComments

        This step depends on Xilinx Device/Hardware

        for Zynq-7000 series

        • copy u-boot.elf, u-boot.dtb, system.dtb, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for ZynqMP

        • copy u-boot.elf, u-boot.dtb, system.dtb, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for Microblaze

        • ...


    8. Generate Programming Files with Vitis

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
      TE::sw_run_vitis -all
      TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


      Note

      TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis


    Launch

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue
    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure

    Programming

    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Xilinx documentation for programming and debugging: Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging

    Note: Depending on CPLD Firmware and Boot Mode settings, QSPI boot with Linux image on SD or complete SD Boot is possible.

    Get prebuilt boot binaries

  • Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell

  • scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure

    Programming

    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Xilinx documentation for programming and debugging: Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging

    Note: Depending on CPLD Firmware and Boot Mode settings, QSPI boot with Linux image on SD or complete SD Boot is possible.

    Get prebuilt boot binaries

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell

    2. Press 0 and enter to start "Module Selection Guide"

      1. Select assembly version

      2. Validate selection

      3. Select Create and open delivery binary folder

        Info

        Note: Folder

    Press 0 and enter to start "Module Selection Guide"

  • Select assembly version

  • Validate selection

  • Select Create and open delivery binary folder

    Info

    Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated

    QSPI-Boot mode

          Option for Boot.bin on QSPI Flash and image.ub and boot.scr on SD or USB.

    1. Connect JTAG and power on carrier with module

    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script programs BOOT.bin on QSPI flash)
      TE::pr_program_flash -swapp u-boot
      TE::pr_program_flash -swapp hello_te0821 (optional)
      Note

      To program with Vitis/Vivado GUI, use special FSBL (fsbl_flash) on setup

      Copy image.ub and boot.scr on SD or USB

      use files from
      1. "<project folder>\_binaries_<Article Name>

      \
      1. " with subfolder "boot_

      linux" from generated binary folder,see: Get prebuilt boot binaries
    3. or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"

    4. Set Boot Mode to QSPI-Boot and insert SD or USB.

      • Depends on Carrier, see carrier TRM.

    SD-Boot mode

    Use this description for CPLD Firmware with SD Boot selectable.
      1. <app name>" for different applications will be generated


    SD-Boot mode

    1. Copy image.ub, boot.src and Boot.bin on SD

      • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder, see: Get prebuilt boot binaries

      • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"

    2. Set Boot Mode to SD-Boot.

      • Depends on Carrier, see carrier TRM.

    3. Insert SD-Card in SD-Slot.

    JTAG

    Not used on this Example.

    Usage

    1. Prepare HW like described on section Programming

    2. Connect UART USB (most cases same as JTAG)

    3. Select SD Card or QSPI as Boot Mode (Depends on used programming variant)

      Info

      Note: See TRM of the Carrier, which is used.


      Tip

      Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.
      The boot options described above describe the common boot processes for this hardware; other boot options are possible.
      For more information see Distro Boot with Boot.scr


    4. Power On PCB

      Expand
      titleboot process

      1. ZynqMP Boot ROM loads PMU Firmware and  FSBL from SD/QSPI Flash into OCM

      2. FSBL init PS, programs PL using the bitstream and loads U-boot from SD into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


    Linux

    1. Open Serial Console (e.g. putty)

      1. Speed: 115200

      2. Select COM Port

        Info

        Win OS, see device manager, Linux OS see dmesg |grep tty (UART is *USB1)


    2. Linux Console:

      Code Block
      languagebash
      themeMidnight
      # password default disabled with 2021.2 petalinux release
      petalinux login: root
      Password: root


      Info

      Note: Wait until Linux boot finished


    3. You can use Linux shell now.

      Code Block
      languagebash
      themeMidnightMidnight
      Ethernet
      	ifconfig		 	(display all active interface details)
      	ifconfig eth1 upi2cdetect -y -r 0   (check I2C 0 Bus)
      dmesg | grep rtc    (RTC check)
      udhcpc      (activate the       (ETH0 check)
      lsusb  eth1 interface)
      	udhcpc -i eth1      (negotiate an IP address    (USB check)for eth1)
      


    4. Option Features

      • Webserver to get access to Zynq

        • insert IP on web browser to start web interface

      • init.sh scripts

        • add init.sh script on SD, content will be load automatically on startup (template included in "<project folder>\misc\SD")


    Vivado HW Manager

    Page properties
    hiddentrue
    idComments

    Note:

    • Add picture of HW Manager

    • add notes for the signal either groups or topics, for example:

      Control:

      • add controllable IOs with short notes..

      Monitoring:

      • add short notes for signals which will be monitored only
      • SI5338 CLKs:
        • Set radix from VIO signals to unsigned integer.
          Note: Frequency Counter is inaccurate and displayed unit is Hz
        • expected CLK Frequency...
    Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder)

    Monitoring:

    • SI5338_CLK0 Counter:

      • Set radix from VIO signals to unsigned integer.
        Note: Frequency Counter is inaccurate and displayed unit is Hz for CLK signals

    • SI5338 CLK1 is configured to 200MHz by default and SI5338 CLK3 is configured to 125MHz by default.

    Control:


    Scroll Title
    anchorFigure_VHM
    title-alignmentcenter
    titleVivado Hardware Manager

    System Design - Vivado

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    title-alignmentcenter
    titleBlock Design

    draw.io Diagram
    bordertrue
    diagramNameTE0821 blockdesign
    simpleViewerfalse
    width1000
    linksauto
    tbstyletop
    diagramDisplayName
    lboxtrue
    diagramWidth1412
    revision1


    PS Interfaces

    Page properties
    hiddentrue
    idComments

    Note:

    • optional for Zynq / ZynqMP only

    • add basic PS configuration

    Activated interfaces:

    Scroll Title
    anchorTable_PSI
    title-alignmentcenter
    titlePS Interfaces

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Type

    Note

    DDR


    QSPI

    MIO

    SD0

    MIO

    SD1

    MIO

    I2C0

    MIO

    UART0

    MIO

    GPIO0

    MIO

    SWDT0..1


    TTC0..3


    GEM3

    MIO

    USB0

    MIO, USB2 only



    Constrains

    Basic module constrains

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]

    Design specific constrain

    Code Block
    languageruby
    title_i_io.xdc
    set_property PACKAGE_PIN E5 [get_ports {SI5338_CLK0_D_clk_p[0]}]
    set_property IOSTANDARD LVDS [get_ports {SI5338_CLK0_D_clk_p[0]}]
    set_property PACKAGE_PIN C3 [get_ports {SI5338_CLK3_D_clk_p[0]}]
    set_property IOSTANDARD LVDS [get_ports {SI5338_CLK3_D_clk_p[0]}]
    
    set_property PACKAGE_PIN B1 [get_ports {x0[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {x0[0]}]
    set_property PACKAGE_PIN C1 [get_ports {x1[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {x1[0]}]
    
    set_property PACKAGE_PIN G8 [get_ports {PHY_LED[0]}]
    set_property PACKAGE_PIN E9 [get_ports {PHY_LED[1]}]
    set_property PACKAGE_PIN D9 [get_ports {PHY_LED[2]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {PHY_LED[*]}]
    
    set_property PACKAGE_PIN A5 [get_ports {TEST_IN[0]}]
    set_property PACKAGE_PIN B6 [get_ports {TEST_OUT[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {TEST_IN[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {TEST_OUT[0]}]

    Software Design - Vitis

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • optional chapter separate

    • sections for different apps

    For Vitis project creation, follow instructions from:

    Vitis

    Application

    Page properties
    hiddentrue
    idComments

    ----------------------------------------------------------

    FPGA Example

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 2021.2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 2021.2 xilisf_v5_11

    • Changed default Flash type to 5.

    ----------------------------------------------------------

    Zynq Example:

    fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY

    fsbl_flash

    TE modified 2021.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_fsbl_flash

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation


    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.


    Template location: "<project folder>\sw_lib\sw_apps\"

    zynqmp_fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_fsbl_flash

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    zynqmp_pmufw

    Xilinx default PMU firmware.

    hello_te0821

    Hello TE0821 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.


    Software Design -  PetaLinux

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"

    For PetaLinux installation and  project creation, follow instructions from:

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    • select SD default instead of eMMC:
      • CONFIG_SUBSYSTEM_PRIMARY_SD_PSU_SD_1_SELECT=y
    • generate u-boot.dtb:
      •  CONFIG_SUBSYSTEM_UBOOT_EXT_DTB=y
    • add new flash partition for bootscr and sizing
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART0_SIZE=0x2000000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART2_SIZE=0x2000000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_NAME="bootscr"
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_SIZE=0x80000

    U-Boot

    Start with petalinux-config -c u-boot
    Changes:

    •  MAC from eeprom together with uboot and device tree settings:
      • CONFIG_ENV_OVERWRITE=y
      • CONFIG_ZYNQ_GEM_I2C_MAC_OFFSET=0xFA
      • CONFIG_SYS_I2C_EEPROM_ADDR=0x50
    • Boot Modes:
      • CONFIG_QSPI_BOOT=y
      • CONFIG_SD_BOOT=y
      • # CONFIG_ENV_IS_IN_NAND is not set
      • CONFIG_BOOT_SCRIPT_OFFSET=0x4040000


    Change platform-top.h:

    Code Block
    languagejs
    #include <configs/xilinx_zynqmp.h>
    #no changes

    Device Tree

    Code Block
    languagejs
    titleproject-spec\meta-user\recipes-bsp\device-tree\files\system-user.dtsi
    /include/ "system-conf.dtsi"
    
    
    /*------------------------- QSPI ------------------------- */
    &qspi {
        #address-cells = <1>;
        #size-cells = <0>;
        status = "okay";
        flash0: flash@0 {
            //compatible = "flash name, "micron,m25p80";
            compatible = "jedec,spi-nor";
            reg = <0x0>;
            #address-cells = <1>;
            #size-cells = <1>;
        };
    };
    
    
    /*----------------------- SD1 sd2.0 -----------------------*/
    &sdhci1 {
        disable-wp;
        no-1-8-v;
    };
    
    
    /*------------------------- ETH PHY -----------------------*/
    &gem3 {
        phy-handle = <&phy0>;
        
        nvmem-cells = <&eth0_addr>;
        nvmem-cell-names = "mac-address";
        
        phy0: phy0@1 {
            device_type = "ethernet-phy";
            reg = <1>;
        };
    };
    
    
    /*----------------------- USB 2.0 only --------------------*/
    &dwc3_0 {
        status = "okay";
        dr_mode = "host";
        maximum-speed = "high-speed";
        /delete-property/phy-names;
        /delete-property/phys;
        /delete-property/snps,usb3_lpm_capable;
        snps,dis_u2_susphy_quirk;
        snps,dis_u3_susphy_quirk;
    };
        
    &usb0 {
        status = "okay";
        /delete-property/ clocks;
        /delete-property/ clock-names;
        clocks = <0x3 0x20>;
        clock-names = "bus_clk";
    };
    
    
    /*---------------------------- I2C ------------------------*/
    &i2c0 {
      eeprom: eeprom@50 {
         compatible = "microchip,24aa025", "atmel,24c02";
         reg = <0x50>;
         
         #address-cells = <1>;
         #size-cells = <1>;
         eth0_addr: eth-mac-addr@FA {
           reg = <0xFA 0x06>;
         };     
         
      };
    };
       


    Code Block
    languagejs
    titleproject-spec\meta-user\recipes-bsp\uboot-device-tree\files\system-user.dtsi
    /include/ "system-conf.dtsi"
    
    
    /*------------------------- QSPI ------------------------- */
    &qspi {
        #address-cells = <1>;
        #size-cells = <0>;
        status = "okay";
        flash0: flash@0 {
            //compatible = "flash name, "micron,m25p80";
            compatible = "jedec,spi-nor";
            reg = <0x0>;
            #address-cells = <1>;
            #size-cells = <1>;
        };
    };
    
    
    /*----------------------- SD1 sd2.0 -----------------------*/
    &sdhci1 {
        disable-wp;
        no-1-8-v;
    };
    
    
    /*------------------------- ETH PHY -----------------------*/
    &gem3 {
        phy-handle = <&phy0>;
        
        nvmem-cells = <&eth0_addr>;
        nvmem-cell-names = "mac-address";
        
        phy0: phy0@1 {
            device_type = "ethernet-phy";
            reg = <1>;
        };
    };
    
    
    /*----------------------- USB 2.0 only --------------------*/
    &dwc3_0 {
        status = "okay";
        dr_mode = "host";
        maximum-speed = "high-speed";
        /delete-property/phy-names;
        /delete-property/phys;
        /delete-property/snps,usb3_lpm_capable;
        snps,dis_u2_susphy_quirk;
        snps,dis_u3_susphy_quirk;
    };
        
    &usb0 {
        status = "okay";
        /delete-property/ clocks;
        /delete-property/ clock-names;
        clocks = <0x3 0x20>;
        clock-names = "bus_clk";
    };
    
    
    /*---------------------------- I2C ------------------------*/
    &i2c0 {
      eeprom: eeprom@50 {
         compatible = "microchip,24aa025", "atmel,24c02";
         reg = <0x50>;
         
         #address-cells = <1>;
         #size-cells = <1>;
         eth0_addr: eth-mac-addr@FA {
           reg = <0xFA 0x06>;
         };     
         
      };
    };
       

    FSBL patch

    Must be add manually, see template

    Kernel

    Start with petalinux-config -c kernel

    Changes:

    • Only needed to fix JTAG Debug issue:

      • CONFIG_CPU_IDLE is not set

      • CONFIG_CPU_FREQ is not set

      • CONFIG_EDAC_CORTEX_ARM64=y

    Rootfs

    Start with petalinux-config -c rootfs

    Changes:

    • For web server app:
      • CONFIG_busybox-httpd=y
    • For additional test tools only:
      • CONFIG_i2c-tools=y
      • CONFIG_packagegroup-petalinux-utils=y    (util-linux,cpufrequtils,bridge-utils,mtd-utils,usbutils,pciutils,canutils,i2c-tools,smartmontools,e2fsprogs)

    Applications

    See "<project folder>\os\petalinux\project-spec\meta-user\recipes-apps\"

    startup

    Script App to load init.sh from SD Card if available.

    webfwu

    Webserver application suitable for Zynq access. Need busybox-httpd

    Additional Software

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Add description for other Software, for example SI CLK Builder ...

    • SI5338 and SI5345 also Link to:

    SI5338

    File location "<project folder>\misc\PLL\Si5338_B\Si5338-*.slabtimeproj"

    General documentation how you work with these project will be available on Si5338

    Appx. A: Change History and Legal Notices

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Document Change History

    To get content of older revision  got to "Change History"  of this page and select older document revision number.

    Page properties
    hiddentrue
    idComments
    • Note this list must be only updated, if the document is online on public doc!

    • It's semi automatically, so do following

      • Add new row below first

      • Copy "Page Information Macro(date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

      • Metadata is only used of compatibility of older exports


    Scroll Title
    anchorTable_dch
    title-alignmentcenter
    titleDocument change history.

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    widths2*,*,3*,4*
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue


    Date

    Document Revision

    Authors

    Description

    Page info
    infoTypeModified date
    dateFormatyyyy-MM-dd
    typeFlat

    Page info
    infoTypeCurrent version
    prefixv.
    typeFlat

    Page info
    infoTypeModified by
    typeFlat

    • bugfix uncomment block design modifications in mod_bd.tcl
    • added jtag2axi for test purposes
    2022-10-25v.8Manuela Strücker
    • Release Vivado 2021.2.1

    • new variants

    • script update

    2022-06-02

    v.6

    Manuela Strücker

    • Update Design flow section

    2021-10-13

    v.5

    John Hartfiel

    • Update Design files (bugfix)

    2021-08-24

    v.4

    Mohsen Chamanbaz

    • startup application added

    • webfwu application added

    2021-08-17

    v.3

    Mohsen Chamanbaz

    • 2020..2 release

    2020-10-06

    v.2

    John Hartfiel

    • new assembly variants

    2020-05-29

    v.1

    John Hartfiel

    • initial release


    All

    Page info
    infoTypeModified users
    typeFlat



    Legal Notices

    Include Page
    IN:Legal Notices
    IN:Legal Notices



    Scroll Only


    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 0%;
    }</style>
    



    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 20%;
    }</style>
    
    


    Scroll pdf ignore


    Custom_fix_page_content

    Table of contents

    Table of Contents
    outlinetrue