Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Template Revision 2.7 - on construction

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"

...

HTML
<!-- tables have all same width (web max 1200px and pdf full page(640px), flexible width or fix width on menu for single column can be used as before) -->
<style>
.wrapped{
  width: 100% !important;
  max-width: 1200px !important;
 }
</style>

...

hiddentrue
idComments

Important General Note:

...

Export PDF to download, if vivado revision is changed!

Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

...

Figure template (note: inner scroll ignore/only only with drawIO object):

...

anchorFigure_xyz
titleText
Scroll Ignore

Create DrawIO object here: Attention if you copy from other page, use

Scroll Only

image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed

...

Table template:

  • Layout macro can be use for landscape of large tables
  • Set column width manually(can be used for small tables to fit over whole page) or leave empty (automatically)

...

anchorTable_xyz
titleText

...

Scroll pdf ignore

Table of contents

Table of Contents
outlinetrue

Overview

Page properties
hiddentrue
idComments

Notes :

Refer to http://trenz.org/te0724-info for the current online version of this manual and other available documentation.

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design
Excerpt
  • Vitis/Vivado 2019.2
  • PetaLinux
  • SD
  • ETH
  • MAC from EEPROM
  • I2C
  • RTC
  • FMeter
  • FSBL to enable I2C Buffer for PMIC(RTC) and external I2C
  • Special FSBL for QSPI programming

Revision History


Date

Version

Changes

Author

2022-08-24

3.1.11

  • Modification from link "available short link"

ma

2022-01-25

3.1.10

  • removed u-boot.dtb from QSPI-Boot mode and SD-Boot mode. Is implemented in BOOT.bin

  • corrected Boot Source File in Boot Script-File

ma

2022-01-14

3.1.9

  • extended notes for microblaze boot process with linux

  • add u.boot.dtb to petalinux notes

  • add dtb to prebuilt content

  • replace 20.2 with 21.2

jh

2021-06-28

3.1.8

  • added boot process for Microblaze

  • minor typos, formatting

ma

2021-06-01

3.1.7

  • carrier reference note

jh

2021-05-04

3.1.6

  • removed zynq_ from zynq_fsbl

ma

2021-04-28

3.1.5

  • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export

  • minor typos, formatting

ma

2021-04-27

3.1.4

  • Version History

    • changed from list to table

  • Design flow

    • removed step 5 from Design flow

    • changed link from TE Board Part Files to Vivado Board Part Flow

    • changed cmd shell from picture to codeblock

    • added hidden template for "Copy PetaLinux build image files", depending from hardware

    • added hidden template for "Power on PCB", depending from hardware

  • Usage update of boot process

  • Requirements - Hardware

    • added "*used as reference" for hardware requirements

  • all

    • placed a horizontal separation line under each chapter heading

    • changed title-alignment for tables from left to center

  • all tables

    • added "<project folder>\board_files" in Vivado design sources

ma


3.1.3

  • Design Flow

    • formatting

  • Launch

    • formatting

ma


3.1.2

  • minor typing corrections

  • replaced SDK by Vitis

  • changed from / to \ for windows paths

  • replaced <design name> by <project folder>

  • added "" for path names

  • added boot.src description

  • added USB for programming

ma


3.1.1

  • swapped order from prebuilt files

  • minor typing corrections

  • removed Win OS path length from Design flow, added as caution in Design flow

ma


3.1

  • Fix problem with pdf export and side scroll bar

  • update 19.2 to 20.2

  • add prebuilt content option



3.0

  • add fix table of content

  • add table size as macro

  • removed page initial creator



Custom_table_size_100

Page properties
hiddentrue
idComments

...

Important General Note:

  • add every update file on the download
  • add design changes on description

...

anchorTable_DRH
titleDesign Revision History
  • Export PDF to download, if vivado revision is changed!

  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)

      • Figure template (note: inner scroll ignore/only only with drawIO object):

        Scroll Title
        anchorFigure_xyz
        titleText


        Scroll Ignore

        Create DrawIO object here: Attention if you copy from other page, use


        Scroll Only

        image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



      • Table template:

        • Layout macro can be use for landscape of large tables

        • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)


      • Scroll Title
        anchorTable_xyz
        titleText

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1

      • sortEnabledfalse
        cellHighlightingtrue

        Example

...

      • Comment

...

      • 1

...

  • script update

...

  • 2019.2 update
  • Vitis support
  • FSBL changes
  • petalinux device tree and u-boot update

...

  • bugfix IO constrains

...

TE0724-test_board-vivado_2018.2-build_04_20190613114927.zip
TE0724-test_board_noprebuilt-vivado_2018.2-build_04_20190613115049.zip

...

  • add app to get access to EEPROM U10

...

  • Important Board Part File Update
    • change DDR3 to DDR3 Low Power
      • 2



  • ...

Overview

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue


Page properties
hiddentrue
idComments

Notes :

Zynq PS Design with Linux Example and Virtual Input/Output (VIO) for Control and Monitoring with Vivado HW-Manager.
Wiki Resources page: http://trenz.org/te0724-info

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design


Excerpt
  • Vitis/Vivado 2021.2.1
  • PetaLinux
  • SD
  • ETH
  • MAC from EEPROM
  • I2C
  • RTC
  • FMeter
  • FSBL to enable I2C Buffer for PMIC (RTC) and external I2C

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download
  • add design changes on description


Scroll Title
anchorTable_DRH
title-alignmentcenter
titleDesign Revision History

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

DateVivadoProject BuiltAuthorsDescription
2022-11-162021.2.1TE0724-test_board-vivado_2021.2-build_20_20221119073924

...

.zip
TE0724-test_board_noprebuilt-vivado_

...

2021.2-build_

...

20_

...

20221119073924.zip

...

  • initial release

Release Notes and Know Issues

Page properties
hiddentrue
idComments
Notes :
  • add known Design issues and general notes for the current revision
  • do not delete known issue, add fixed version time stamp if  issue fixed

...

anchorTable_KI
titleKnown Issues
Manuela Strücker
  • Release Vivado 2021.2.1
  • script update
  • new assembly variants
2020-03-252019.2TE0724-test_board-vivado_2019.2-build_8_20200325075929.zip
TE0724-test_board_noprebuilt-vivado_2019.2-build_8_20200325075950.zip
John Hartfiel
  • script update
2020-01-302019.2TE0724-test_board_noprebuilt-vivado_2019.2-build_4_20200130130053.zip
TE0724-test_board-vivado_2019.2-build_4_20200130130040.zip
John Hartfiel
  • 2019.2 update
  • Vitis support
  • FSBL changes
  • petalinux device tree and u-boot update
2019-13-122018.2TE0724-test_board_noprebuilt-vivado_2018.2-build_04_20191212064015.zip
TE0724-test_board-vivado_2018.2-build_04_20191212064001.zip
John Hartfiel
  • bugfix IO constrains
2019-06-132018.2

TE0724-test_board-vivado_2018.2-build_04_20190613114927.zip
TE0724-test_board_noprebuilt-vivado_2018.2-build_04_20190613115049.zip

Oleksandr Kiyenko, John Hartfiel
  • add app to get access to EEPROM U10
2019-02-042018.2TE0724-test_board-vivado_2018.2-build_04_20190204111543.zip
TE0724-test_board_noprebuilt-vivado_2018.2-build_04_20190204111557.zip
John Hartfiel
  • Important Board Part File Update
    • change DDR3 to DDR3 Low Power
2018-08-292018.2TE0724-test_board_noprebuilt-vivado_2018.2-build_03_20180830170634.zip
TE0724-test_board-vivado_2018.2-build_03_20180830170621.zip
John Hartfiel
  • initial release


Release Notes and Know Issues

...

Requirements

Software

Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design

...

anchorTable_SW
titleSoftware

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

...

Page properties
hiddentrue
idComments
Notes :

    • list of software which was used to generate the design
    • add known Design issues and general notes for the current revision
    • do not delete known issue, add fixed version time stamp if  issue fixed


    Scroll Title
    anchorTable_KI
    title-alignmentcenter
    titleKnown Issues

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on <design name>/board_files/*_board_files.csv

    Design supports following modules:

    ...

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Design supports following carriers:

    ...

    IssuesDescriptionWorkaroundTo be fixed version
    Xilinx SoftwareIncompatibility of board files for ZynqMP with eMMC activated for Vivado versions below/equal to 2021.2 and 2021.2.1 patch, see Xilinx Forum Requestuse corresponding board files for the Vivado versions--
    EEPROM U10 is not writeableWP is fix on on PCB Revisions, which shipped before 2019-06-13PCB can be patched, send request to Trenz Electronic support---


    Requirements

    Software

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design


    Scroll Title
    anchorTable_SW
    title-alignmentcenter
    titleSoftware

    ...

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault

    ...

    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Software

    ...

    Version

    ...

    Additional HW Requirements:

    ...

    anchorTable_AHW
    titleAdditional Hardware

    Note

    Vitis

    2021.2.1

    needed
    Vivado is included into Vitis installation

    PetaLinux

    2021.2

    needed



    Hardware

    ...

    Page properties
    hiddentrue
    idComments

    Notes :

    • content of the zip file

    For general structure and of the reference design, see Project Delivery - Xilinx devices

    Design Sources

    • list of hardware which was used to generate the design

    • mark the module and carrier board, which was used tested with an *

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on "<project folder>\board_files\*_board_files.csv"

    Design supports following modules:

    Scroll Title
    anchorTable_

    ...

    HWM
    title-alignmentcenter
    title

    ...

    Hardware Modules

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes
    TE0724-02-10-1I10_1i_1gbREV021GB32MBNANANA
    TE0724-02-20-120_1i_1gbREV021GB32MBNANANA
    TE0724-02-20-1IC120_1i_1gbREV021GB32MBNANANA
    TE0724-03-10-1I10_1i_1gbREV031GB32MBNANANA
    TE0724-03-20-120_1i_1gbREV031GB32MBNANANA
    TE0724-03-20-1IC120_1i_1gbREV031GB32MBNANANA
    TE0724-04-41I32-A10_1i_1gbREV041GB32MBNANANA
    TE0724-04-41I33-A*10_1i_1gbREV041GB64MBNANANA
    TE0724-04-41I33-AZ10_1i_1gbREV041GB64MBNANANA
    TE0724-04-61I32-A20_1i_1gbREV041GB32MBNANANA
    TE0724-04-61I32-AZ20_1i_1gbREV041GB32MBNANANA
    TE0724-04-61I33-AC20_1i_1gbREV041GB64MBNANANA
    TE0724-04-S00110_1i_1gbREV041GB64MBNANACAO
    TE0724-04-S00310_1i_1gbREV041GB64MBNANACAO
    TE0724-04-S00410_1i_1gbREV041GB64MBNANACAO
    TE0724-04-S00510_1i_1gbREV041GB64MBNANACAO

    *used as reference

    Design supports following carriers:

    Scroll Title
    anchorTable_HWC
    title-alignmentcenter
    titleHardware Carrier

    Additional Sources

    ...

    anchorTable_ADS
    titleAdditional design sources

    ...

    Prebuilt

    ...

    hiddentrue
    idComments

    Notes :

    ...

    anchorTable_PF
    titlePrebuilt files

    ...

    File

    ...

    File-Extension

    ...

    Description

    ...

    Debian SD-Image

    ...

    *.img

    ...

    Debian Image for SD-Card

    ...

    MCS-File

    ...

    *.mcs

    ...

    Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

    ...

    MMI-File

    ...

    *.mmi

    ...

    File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

    ...

    SREC-File

    ...

    *.srec

    ...

    Converted Software Application for MicroBlaze Processor Systems

    ...

    Download

    Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    File

    ...

    File-Extension

    ...

    Description

    ...

    Carrier ModelNotes
    TEB0724

    *used as reference

    Additional HW Requirements:

    Scroll Title
    anchorTable_AHW
    title-alignmentcenter
    titleAdditional Hardware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Additional HardwareNotes


    *used as reference

    Content

    Page properties
    hiddentrue
    idComments

    ...

    Notes :

    • content of the zip file

    For general structure and of the reference design, see Project Delivery - Xilinx devices

    Design Sources

    Scroll Title
    anchorTable_DS
    title-alignmentcenter
    titleDesign sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Type

    Location

    Notes

    Vivado

    <project folder>\block_design
    <project folder>\constraints
    <project folder>\ip_lib
    <project folder>\board_files

    Vivado Project will be generated by TE Scripts

    Vitis

    <project folder>\sw_lib

    Additional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation

    PetaLinux

    <project folder>\os\petalinux

    PetaLinux template with current configuration



    Additional Sources

    Scroll Title
    anchorTable_ADS
    title-alignmentcenter
    titleAdditional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Type

    Location

    Notes

    init.sh

    <project folder>\misc\sd

    Additional Initialization Script for Linux




    Prebuilt

    Page properties
    hiddentrue
    idComments

    Notes :

    • prebuilt files

    • Template Table:


      • Scroll Title
        anchorTable_PF
        title-alignmentcenter
        titlePrebuilt files

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        File

        File-Extension

        Description

        BIF-File*.bifFile with description to generate Bin-File
        BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
        BIT-File*.bitFPGA (PL Part) Configuration File
        Boot Script-File*.scr

        Distro Boot Script file

        DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

        Debian SD-Image

        *.img

        Debian Image for SD-Card

        Diverse Reports---Report files in different formats
        Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
        Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
        LabTools Project-File*.lprVivado Labtools Project File

        MCS-File

        *.mcs

        Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

        MMI-File

        *.mmi

        File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

        OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
        Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

        SREC-File

        *.srec

        Converted Software Application for MicroBlaze Processor Systems





    Scroll Title
    anchorTable_PF
    title-alignmentcenter
    titlePrebuilt files (only on ZIP with prebult content)

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File

    File-Extension

    Description

    BIF-File

    *.bif

    File with description to generate Bin-File

    BIN-File

    *.bin

    Flash Configuration File with Boot-Image (Zynq-FPGAs)

    BIT-File

    *.bit

    FPGA (PL Part) Configuration File

    Boot Script-File*.scr

    Distro Boot Script file

    DebugProbes-File

    *.ltx

    Definition File for Vivado/Vivado Labtools Debugging Interface

    Diverse Reports

    ---

    Report files in different formats

    Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
    Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux

    LabTools Project-File

    *.lpr

    Vivado Labtools Project File

    OS-Image

    *.ub

    Image with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)

    Software-Application-File

    *.elf

    Software Application for Zynq or MicroBlaze Processor Systems



    Download

    Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

    Page properties
    hiddentrue
    idComments

    Reference Design is available on:

    Design Flow

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Reference Design is available on:

    Design Flow

    Page properties
    hiddentrue
    idComments
    Notes :
    • Basic Design Steps

    • Add/ Remove project specific description

    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first lunch.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    ...

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/SDK GUI.  For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    1. _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:
      Image Removed
    2. Press 0 and enter to start "Module Selection Guide"
    3. (optional Win OS) Generate Virtual Drive or use short directory  for the reference design (for example x:\<design name>)
    4. Create Project (follow instruction of the product selection guide), settings file will be configured automatically during this process
      1. (optional for manual changes) Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"
        Note: Select correct one, see alsoTE Board Part Files
    5. Create XSA and export to prebuilt folder
      1. Run on Vivado TCL: TE::hw_build_design -export_prebuilt
        Note: Script generate design and export files into \prebuilt\hardware\<short dir>. Use GUI is the same, except file export to prebuilt folder
    6. Create Linux (uboot.elf and image.ub) with exported XSA
      1. XSA is exported to "prebuilt\hardware\<short name>"
        Note: HW Export from Vivado GUI create another path as default workspace.
      2. Create Linux images on VM, see PetaLinux KICKstart
        1. Use TE Template from /os/petalinux
    7. Add Linux files (uboot.elf and image.ub) to prebuilt folder
      1. "prebuilt\os\petalinux\<ddr size>" or "prebuilt\os\petalinux\<short name>"
    8. Generate Programming Files with Vitis
      1. Run on Vivado TCL: TE::sw_run_vitis -all
        Note: Scripts generate applications and bootable files, which are defined in "sw_lib\apps_list.csv"
      2. (alternative) Start SDK with Vivado GUI or start with TE Scripts on Vivado TCL: TE::sw_run_vitis
        Note:  TCL scripts generate also platform project, this must be done manuelly in case GUI is used. See Vitis

    Launch

    Programming

    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure
    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Xilinx documentation for programming and debugging: Vivado/SDK/SDSoC-Xilinx Software Programming and Debugging

    Get prebuilt boot binaries

    1. _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
    2. Press 0 and enter to start "Module Selection Guide"
      1. Select assembly version
      2. Validate selection
      3. Select Create and open delivery binary folder
        Note: Folder (<project foler>/_binaries_<Artikel Name>) with subfolder (boot_<app name>) for different applications will be generated

    QSPI

    Optional for Boot.bin on QSPI Flash and image.ub on SD.

    1. Connect JTAG and power on carrier with module
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"
    3. Type on Vivado TCL Console: TE::pr_program_flash_binfile -swapp u-boot
      Note: To program with SDK/Vivado GUI, use special FSBL (zynqmp_fsbl_flash) on setup
               optional "TE::pr_program_flash_binfile -swapp hello_te0724" possible
    4. Set Boot Mode to QSPI.
      • Depends on Carrier, see carrier TRM.
    5. Copy image.ub on SD-Card
      • use files from (<project foler>/_binaries_<Articel Name>)/boot_linux from generated binary folder,see: Get prebuilt boot binaries
      • or use prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
    6. Insert SD-Card

    SD

    1. Copy image.ub and Boot.bin on SD-Card.
      • use files from (<project foler>/_binaries_<Articel Name>)/boot_linux from generated binary folder,see: Get prebuilt boot binaries
      • or use prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
    2. Set Boot Mode to SD-Boot.
      • Depends on Carrier, see carrier TRM.
    3. Insert SD-Card in SD-Slot.

    JTAG

    Not used on this Example.

    Usage

    1. Prepare HW like described on section 70156368
    2. Connect UART USB (most cases same as JTAG)
    3. Select SD Card as Boot Mode (or QSPI depending on programming option)
      Note: See TRM of the Carrier, which is used.
    4. Power On PCB
      Note: 1. Zynq Boot ROM loads FSBL from SD into OCM, 2. FSBL loads U-boot from SD into DDR, 3. U-boot load Linux from SD into DDR

    Linux

    1. Open Serial Console (e.g. putty)
      1. Speed: 115200
      2. COM Port: Win OS, see device manager, Linux OS see  dmesg |grep tty  (UART is *USB1)
    2. Linux Console:
      Note: Wait until Linux boot finished For Linux Login use:
      1. User Name: root
      2. Password: root
    3. You can use Linux shell now.
      1. I2C 0 Bus type: i2cdetect -y -r 0
      2. RTC check: dmesg | grep rtc
      3. ETH0 works with udhcpc

    ...

    Page properties
    hiddentrue
    idComments

    Note:

    • Add picture of HW Manager

    • add notes for the signal either groups or topics, for example:

      Control:

      • add controllable IOs with short notes..

      Monitoring:

      • add short notes for signals which will be monitored only
      • SI5338 CLKs:
        • Set radix from VIO signals to unsigned integer. Note: Frequency Counter is inaccurate and displayed unit is Hz
        • expected CLK Frequ:...

    Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder)

    • Control:
      • CAN Standby control
      • module LED control
      • TEB0724 LED control
    • Monitoring:
      • TEB0724 Button
      • PMIC GPIO
      • PHY 125MHz
    Scroll Title
    anchorFigure_VHM
    titleVivado Hardware Manager
    Image Removed

    ...



    Page properties
    hiddentrue
    idComments

    ...

    Notes :
    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    titleBlock Design
    Image Removed

    PS Interfaces

    ...

    anchorTable_PSI
    titlePS Interfaces

    ...

    Constrains

    Basic module constrains

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    #
    # Common BITGEN related settings for TE0720 SoM
    #
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property CFGBVS VCCO [current_design]
    
    
    

    Design specific constrain

    Code Block
    languageruby
    title_i_io.xdc
    # can
    set_property PACKAGE_PIN T11 [get_ports CAN_0_tx]
    set_property IOSTANDARD LVCMOS33 [get_ports CAN_0_tx]
    set_property PACKAGE_PIN T10 [get_ports CAN_0_rx]
    set_property IOSTANDARD LVCMOS33 [get_ports CAN_0_rx]
    set_property PACKAGE_PIN U13 [get_ports {CAN_STBY[0]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {CAN_STBY[0]}]
    # led
    set_property PACKAGE_PIN U12 [get_ports {LED_RG[0]}]
    set_property PACKAGE_PIN W13 [get_ports {LED_RG[1]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {LED_RG[*]}]
    # CLK
    set_property PACKAGE_PIN U14 [get_ports {PHY_CLK125M[0]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {PHY_CLK125M[0]}]
    # PWR GPIO
    set_property PACKAGE_PIN T12 [get_ports {PWR_GPIO01[0]}]
    set_property PACKAGE_PIN U15 [get_ports {PWR_GPIO01[1]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {PWR_GPIO01[*]}]
    # TEB0724 Button
    set_property PACKAGE_PIN Y19 [get_ports {TEB0724_BUTTON_S24[0]}]
    set_property PACKAGE_PIN Y18 [get_ports {TEB0724_BUTTON_S24[1]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {TEB0724_BUTTON_S24[*]}]
    # TEB0724 LED
    set_property PACKAGE_PIN P18 [get_ports {TEB0724_ULED[0]}]
    set_property PACKAGE_PIN N17 [get_ports {TEB0724_ULED[1]}]
    set_property PACKAGE_PIN R17 [get_ports {TEB0724_ULED[2]}]
    set_property PACKAGE_PIN R16 [get_ports {TEB0724_ULED[3]}]
    set_property PACKAGE_PIN Y14 [get_ports {TEB0724_ULED[4]}]
    set_property PACKAGE_PIN W14 [get_ports {TEB0724_ULED[5]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {TEB0724_ULED[*]}]

    Software Design - Vitis

    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For SDK project creation, follow instructions from:

    Vitis

    Application

    ...

    hiddentrue
    idComments

    ----------------------------------------------------------

    FPGA Example

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 2019.2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 2019.2 xilisf_v5_11

    • Changed default Flash type to 5.

    ----------------------------------------------------------

    Zynq Example:

    zynq_fsbl

    TE modified 2019.2 FSBL

    General:

    • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot  platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY

    zynq_fsbl_flash

    TE modified 2019.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      •  Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified 2019.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c(search for 'TE Mod' on source code)
    • Add Files:  te_xfsbl_hooks.h/.c (for hooks and board)\n\
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_fsbl_flash

    TE modified 2019.2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      •  Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Template location: ./sw_lib/sw_apps/

    zynq_fsbl

    TE modified 2019.2 FSBL

    General:

    • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • enable I2C Buffer over MIO38, needed for RTC and external I2C

    zynq_fsbl_flash

    TE modified 2019.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      •  Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    hello_te0724

    Hello World App in Endless loop.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Software Design -  PetaLinux

    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"

    For PetaLinux installation and  project creation, follow instructions from:

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    • CONFIG_SUBSYSTEM_ETHERNET_PS7_ETHERNET_0_MAC=""

    U-Boot

    Start with petalinux-config -c u-boot

    Changes:

    • CONFIG_ENV_IS_NOWHERE=y

    • # CONFIG_ENV_IS_IN_SPI_FLASH is not set

    • CONFIG_I2C_EEPROM=y

    • CONFIG_ZYNQ_GEM_I2C_MAC_OFFSET=0xFA

    • CONFIG_SYS_I2C_EEPROM_ADDR=0x53

    • CONFIG_SYS_I2C_EEPROM_BUS=0

    • CONFIG_SYS_EEPROM_SIZE=256

    • CONFIG_SYS_EEPROM_PAGE_WRITE_BITS=0

    • CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS=0

    • CONFIG_SYS_I2C_EEPROM_ADDR_LEN=1

    • CONFIG_SYS_I2C_EEPROM_ADDR_OVERFLOW=0

    Change platform-top.h:

    ...

    languagejs

    Device Tree

    ...

    languagejs

    ...

    • Basic Design Steps

    • Add/ Remove project specific description


    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    Note

    Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/SDK GUI.  For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

      Code Block
      languagebash
      themeMidnight
      title_create_win_setup.cmd/_create_linux_setup.sh
      ------------------------Set design paths----------------------------
      -- Run Design with: _create_win_setup
      -- Use Design Path: <absolute project path>
      --------------------------------------------------------------------
      -------------------------TE Reference Design---------------------------
      --------------------------------------------------------------------
      -- (0)  Module selection guide, project creation...prebuilt export...
      -- (1)  Create minimum setup of CMD-Files and exit Batch
      -- (2)  Create maximum setup of CMD-Files and exit Batch
      -- (3)  (internal only) Dev
      -- (4)  (internal only) Prod
      -- (c)  Go to CMD-File Generation (Manual setup)
      -- (d)  Go to Documentation (Web Documentation)
      -- (g)  Install Board Files from Xilinx Board Store (beta)
      -- (a)  Start design with unsupported Vivado Version (beta)
      -- (x)  Exit Batch (nothing is done!)
      ----
      Select (ex.:'0' for module selection guide):


    2. Press 0 and enter to start "Module Selection Guide"
    3. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
      • optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

        Note

        Note: Select correct one, see also Vivado Board Part Flow


    4. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
      TE::hw_build_design -export_prebuilt


      Info

      Using Vivado GUI is the same, except file export to prebuilt folder.


    5. Create and configure your PetaLinux project with exported .xsa-file, see PetaLinux KICKstart
      • use TE Template from "<project folder>\os\petalinux"
      • use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . Note: HW Export from Vivado GUI creates another path as default workspace.

      • The build images are located in the "<plnx-proj-root>/images/linux" directory

    6. Configure the boot.scr file as needed, see Distro Boot with Boot.scr
    7. Copy PetaLinux build image files to prebuilt folder
      • copy u-boot.elf, u-boot.dtb, system.dtb, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        Info

        "<project folder>\prebuilt\os\petalinux\<ddr size>" or "<project folder>\prebuilt\os\petalinux\<short name>"


        Page properties
        hiddentrue
        idComments

        This step depends on Xilinx Device/Hardware

        for Zynq-7000 series

        • copy u-boot.elf, u-boot.dtb, system.dtb, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for ZynqMP

        • copy u-boot.elf, u-boot.dtb, system.dtb, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for Microblaze

        • ...


    8. Generate Programming Files with Vitis

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
      TE::sw_run_vitis -all
      TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


      Note

      TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis


    Launch

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure

    Programming

    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Xilinx documentation for programming and debugging: Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging

    Get prebuilt boot binaries

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
    2. Press 0 and enter to start "Module Selection Guide"
      1. Select assembly version
      2. Validate selection
      3. Select Create and open delivery binary folder

        Info

        Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated


    QSPI-Boot mode

    Option for Boot.bin on QSPI Flash and image.ub and boot.scr on SD or USB.

    1. Connect JTAG and power on carrier with module
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script programs BOOT.bin on QSPI flash)
      TE::pr_program_flash -swapp u-boot
      TE::pr_program_flash -swapp hello_te0724 (optional)


    3. Copy image.ub and boot.scr on SD or USB
      • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder,see: Get prebuilt boot binaries
      • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
    4. Set Boot Mode to QSPI-Boot and insert SD or USB card.
      • Depends on Carrier, see carrier TRM.

    SD

    1. Copy image.ub, boot.src and Boot.bin on SD
      • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder, see: Get prebuilt boot binaries
      • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
    2. Set Boot Mode to SD-Boot.
      • Depends on Carrier, see carrier TRM.
    3. Insert SD-Card in SD-Slot.

    JTAG

    Not used on this Example.

    Usage

    1. Prepare HW like described on section Programming
    2. Connect UART USB (most cases same as JTAG)
    3. Select SD Card or QSPI as Boot Mode (Depends on used programming variant)

      Info

      Note: See TRM of the Carrier, which is used.


      Tip

      Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.
      The boot options described above describe the common boot processes for this hardware; other boot options are possible.
      For more information see Distro Boot with Boot.scr


    4. Power On PCB

      Expand
      titleboot process

      1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      Page properties
      hiddentrue
      idComments

      This step depends on Xilinx Device/Hardware

      for Zynq-7000 series

      1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for ZynqMP???

      1. ZynqMP Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for Microblaze

      1. FPGA Loads Bitfile from Flash,

      2. MCS Firmware configure SI5338 and starts Microblaze,

      3. SREC Bootloader from Bitfile Firmware loads U-Boot into DDR (This takes a while),

      4. U-boot loads Linux from QSPI Flash into DDR


      for native FPGA

      ...


    Linux

    1. Open Serial Console (e.g. putty)
      1. Speed: 115200
      2. Select COM Port

        Info

        Win OS, see device manager, Linux OS see dmesg |grep tty (UART is *USB1)


    2. Linux Console:

      Code Block
      languagebash
      themeMidnight
      # password default disabled with 2021.2 petalinux release
      petalinux login: root
      Password: root


      Info

      Note: Wait until Linux boot finished


    3. You can use Linux shell now.

      Code Block
      languagebash
      themeMidnight
      I2C
      	i2cdetect -l        (Shows a list of the available I2C buses) 
      	i2cdetect -y -r 0	(check I2C 0 Bus)
      RTC
      	dmesg | grep rtc	(RTC check)
      ETH0
      	udhcpc				(ETH0 check)
      GPIO
      	gpiodetect			(list all gpiochips present on the system)
      	gpioget `gpiofind "MIO51_J9-6"`		(read value of specified GPIO)
       	gpioset `gpiofind "MIO9_D8"`=1		(set value of specified GPIO)
      


    4. Option Features

      • Webserver to get access to Zynq

        • insert IP on web browser to start web interface

      • init.sh scripts

        • add init.sh script on SD, content will be load automatically on startup (template included in "<project folder>\misc\SD")


    Vivado HW Manager

    Page properties
    hiddentrue
    idComments

    Note:

    • Add picture of HW Manager

    • add notes for the signal either groups or topics, for example:

      Control:

      • add controllable IOs with short notes..

      Monitoring:

      • add short notes for signals which will be monitored only

      SI5338_CLK0 Counter: 

      Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder). Set radix from VIO signals to unsigned integer.Note: Frequency Counter is inaccurate and displayed unit is Hz

    Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder)

    • Control:
      • CAN_STBY[0:0]                           CAN Standby control
      • LED_RG[1:0]                               module LED control
      • TEB0724_ULED[5:0]                   TEB0724 LED control
    • Monitoring:
      • vio_TEB0724_BUTTON_S24[1:0]  TEB0724 Button S2 and S4
      • vio_PWR__GPIO01[1:0]               PMIC GPIO
      • fm_PHY_CLK125M[31:0]             PHY Clock 125MHz
      • labtools_fmeter_0_update           FMeter Update



    System Design - Vivado

    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    titleBlock Design
    Image Added


    PS Interfaces

    Scroll Title
    anchorTable_PSI
    title-alignmentcenter
    titlePS Interfaces

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeNote
    DDR
    QSPIMIO
    ETH0MIO
    SD0MIO
    UART1MIO
    I2C1MIO
    CAN0EMIO
    GPIOMIO
    TTC0..1EMIO
    WDTEMIO


    Constrains

    Basic module constrains

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    #
    # Common BITGEN related settings for TE0724 SoM
    #
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property CFGBVS VCCO [current_design]
    

    Design specific constrain

    Code Block
    languageruby
    title_i_io.xdc
    # can
    set_property PACKAGE_PIN T11 [get_ports CAN_0_tx]
    set_property IOSTANDARD LVCMOS33 [get_ports CAN_0_tx]
    set_property PACKAGE_PIN T10 [get_ports CAN_0_rx]
    set_property IOSTANDARD LVCMOS33 [get_ports CAN_0_rx]
    set_property PACKAGE_PIN U13 [get_ports {CAN_STBY[0]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {CAN_STBY[0]}]
    # led
    set_property PACKAGE_PIN U12 [get_ports {LED_RG[0]}]
    set_property PACKAGE_PIN W13 [get_ports {LED_RG[1]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {LED_RG[*]}]
    # CLK
    set_property PACKAGE_PIN U14 [get_ports {PHY_CLK125M[0]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {PHY_CLK125M[0]}]
    # PWR GPIO
    set_property PACKAGE_PIN T12 [get_ports {PWR_GPIO01[0]}]
    set_property PACKAGE_PIN U15 [get_ports {PWR_GPIO01[1]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {PWR_GPIO01[*]}]
    # TEB0724 Button
    set_property PACKAGE_PIN Y19 [get_ports {TEB0724_BUTTON_S24[0]}]
    set_property PACKAGE_PIN Y18 [get_ports {TEB0724_BUTTON_S24[1]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {TEB0724_BUTTON_S24[*]}]
    # TEB0724 LED
    set_property PACKAGE_PIN P18 [get_ports {TEB0724_ULED[0]}]
    set_property PACKAGE_PIN N17 [get_ports {TEB0724_ULED[1]}]
    set_property PACKAGE_PIN R17 [get_ports {TEB0724_ULED[2]}]
    set_property PACKAGE_PIN R16 [get_ports {TEB0724_ULED[3]}]
    set_property PACKAGE_PIN Y14 [get_ports {TEB0724_ULED[4]}]
    set_property PACKAGE_PIN W14 [get_ports {TEB0724_ULED[5]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {TEB0724_ULED[*]}]

    Software Design - Vitis

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For Vitis project creation, follow instructions from:

    Vitis

    Application

    Page properties
    hiddentrue
    idComments

    ----------------------------------------------------------

    FPGA Example

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 2021.2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 2021.2 xilisf_v5_11

    • Changed default Flash type to 5.

    ----------------------------------------------------------

    Zynq Example:

    fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY

    fsbl_flash

    TE modified 2021.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    ----------------------------------------------------------

    ZynqMP Example:

    zynqmp_fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_fsbl_flash

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation


    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Template location: "<project folder>\sw_lib\sw_apps\"

    zynq_fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • enable I2C Buffer over MIO38, needed for RTC and external I2C

    zynq_fsbl_flash

    TE modified 2021.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    hello_te0724

    Hello TE0724 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Software Design -  PetaLinux

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"

    For PetaLinux installation and project creation, follow instructions from:

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    • MAC from eeprom together with device tree settings: 
      • CONFIG_SUBSYSTEM_ETHERNET_PS7_ETHERNET_0_MAC=""
    • add new flash partition for bootscr and sizing:
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART0_SIZE=0xA00000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART2_SIZE=0x1400000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_NAME="bootscr"
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_SIZE=0x40000

    U-Boot

    Start with petalinux-config -c u-boot

    Changes:

    • MAC from eeprom together with device tree settings:
      • CONFIG_ENV_OVERWRITE=y
    • Boot Modes:
      • CONFIG_QSPI_BOOT=y
      • CONFIG_SD_BOOT=y
      • # CONFIG_ENV_IS_IN_NAND is not set
      • CONFIG_BOOT_SCRIPT_OFFSET=0x1E20000


    Change platform-top.h:

    Code Block
    languagejs
    #include <configs/zynq-common.h>
    #no changes

    Device Tree

    Code Block
    languagejs
    titleproject-spec\meta-user\recipes-bsp\device-tree\files\system-user.dtsi
    /include/ "system-conf.dtsi"
    
     /*-------------------------- default ---------------------*/
     
     /*--------------------------- GPIO -----------------------*/
     &gpio0 {
        gpio-line-names =
            "MIO0_PWR"    , ""            , ""            , ""              , ""            , 
            ""            , ""            , "MIO7"        , ""              , "MIO9_D8"     ,
            "MIO10_J7-5"  , "MIO11_J7-6"  , "MIO12_J7-7"  , "MIO13_J7-8"    , "MIO14_J7-9"  , 
            "MIO15_J7-10" , ""            , ""            , ""              , ""            , 
            ""            , ""            , ""            , ""              , ""            , 
            ""            , ""            , ""            , ""              , ""            , 
            "MIO30_nC"    , "MIO31_nC"    , "MIO32_nC"    , "MIO33_nC"      , "MIO34_nC"    ,
            "MIO35_nC"    , "MIO36_nC"    , "MIO37_nC"    , "MIO38_TCA-OE"  , ""            , 
            ""            , ""            , ""            , ""              , ""            , 
            ""            , "MIO46_J9-4"  , ""            , ""              , ""            , 
            "MIO50_J9-5"  , "MIO51_J9-6"  , ""            , ""              ;
     };
      
     /*--------------------------- QSPI -----------------------*/
     &qspi {
         is-dual = <0>;
         num-cs = <1>;
         #address-cells = <1>;
         #size-cells = <0>;
         status = "okay";
         spi-rx-bus-width = <4>;
         flash0: flash@0 {
             compatible = "jedec,spi-nor";
             reg = <0x0>;
             #address-cells = <1>;
             #size-cells = <1>;
             spi-rx-bus-width = <4>;
         };
     };
     
     /*-------------------------- ETH PHY ---------------------*/
     &gem0 {
         phy-handle = <&phy0>;
    
         nvmem-cells = <&eth0_addr>;
         nvmem-cell-names = "mac-address";
    
             phy0: phy@0 {
                 device_type = "ethernet-phy";
                 reg = <1>;
            

    ...

     };
     

    ...

    };
    

    ...

     
    

    ...

     /*---------------------------- I2C -----------------------*/
     &i2c1 {
       
       //pmic
      

    ...

     pmic0: da9062@58 {
         

    ...

    compatible = 

    ...

    "dlg,da9062";
         reg 

    ...

    = <0x58>;
         interrupt-parent = <&gpio0>;
         

    ...

    interrupts = 

    ...

    <0 8>;
         interrupt-controller;
         

    ...

    rtc {
             

    ...

    compatible = 

    ...

    "dlg,da9062-rtc";
    

    ...

         };
    

    ...

       };
    

    ...

       
       //MAC EEPROM
       eeprom: eeprom@53 {
         compatible = "microchip,24aa025", "atmel,24c02";
         reg = <0x53>;
         
         #address-cells = <1>;
         #size-cells = <1>;
         eth0_addr: eth-mac-addr@FA {
           reg = <0xFA 0x06>;
         };
         
       };
      
      //user EEPROM 
       eeprom50: eeprom@50 {
         compatible = "microchip,24aa128", "atmel,24c128";
         reg = <0x50>;
       };
       
     };

    ...

    Kernel

    Start with petalinux-config -c kernel

    Changes:

    • CONFIG_REGMAP_IRQ=y

    • # CONFIG_DA9062_THERMAL is not set

    • # CONFIG_DA9062_WATCHDOG is not set

    • CONFIG_MFD_DA9062=y

    • # CONFIG_REGULATOR_DA9062 is not set

    • CONFIG_RTC_DRV_DA9063=y

    Rootfs

    Start with petalinux-config -c rootfs

    ...

    Changes:

    • For web server app

    ...

    • :

      ...

        • CONFIG_busybox-httpd=y
      • For additional test tools only:
        • CONFIG_

      ...

        • i2c-

      ...

        • tools=y

      ...

      Applications

        • CONFIG_packagegroup-petalinux-utils=y    (util-linux,cpufrequtils,bridge-utils,mtd-utils,usbutils,pciutils,canutils

      ...

        • ,i2c-tools,smartmontools,e2fsprogs)
      • For use of libgpiod-tools (gpiodetect, gpioset, gpioget, ...) together with device tree settings:
        • CONFIG_libgpiod-tools=y

      Add in <project folder>\os\petalinux\project-spec\meta-user\conf\user-rootfsconfig

      Code Block
      languagejs
      CONFIG_libgpiod-tools

      Applications

      See "<project folder>\os\petalinux\project-spec\meta-user\recipes-apps\"

      startup

      Script App to load init.sh from SD Card if available.

      webfwu

      Webserver application suitable for Zynq access.

      ...

      Need busybox-httpd

      Additional Software

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue

      See: \os\petalinux\project-spec\meta-user\recipes-apps\startup\files

      webfwu

      Webserver application accemble for Zynq access. Need busybox-httpd

      ...



      Page properties
      hiddentrue
      idComments
      Note:
      • Add description for other Software, for example SI CLK Builder ...
      • SI5338 and SI5345 also Link to:

      No additional software is needed.


      Appx. A: Change History and Legal Notices

      ...

      Document Change History

      To get content of older revision  got to "Change History"  of this page and select older document revision number.

      Page properties
      hiddentrue
      idComments
      • Note this list must be only updated, if the document is online on public doc!
      • It's semi automatically, so do following
        • Add new row below first

        • Copy "Page Information Macro(date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

        • Metadata is only used of compatibility of older exports
      HTML
      <!--
      Generate new entry:
      1:add new row below first
      2:Copy Page Information Macro(date+user) Preview, Page Information Macro Preview
      3.Update Metadate =Page Information Macro Preview+1
        -->

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue

      Document Change History

      To get content of older revision go to "Change History" of this page and select older document revision number.

      Page properties
      hiddentrue
      idComments
      • Note this list must be only updated, if the document is online on public doc!
      • It's semi automatically, so do following
        • Add new row below first

        • Copy "Page Information Macro(date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

        • Metadata is only used of compatibility of older exports


      Scroll Title
      anchorTable_dch
      title-alignmentcenter
      titleDocument change history.

      Scroll Table Layout
      orientationportrait
      sortDirectionASC
      repeatTableHeadersdefault
      style
      widths2*,*,3*,4*
      sortByColumn1
      sortEnabledfalse
      cellHighlightingtrue

      DateDocument Revision

      Authors

      Description

      Page info
      modified-date
      modified-date
      dateFormatyyyy-MM-dd

      Page info
      infoTypeCurrent version
      dateFormatyyyy-MM-dd
      prefixv.
      typeFlat

      Page info
      infoTypeModified

      ...

      by
      typeFlat

      • Release Vivado 2021.2.1
      • script update
      • new assembly variants
      2020-03-25v.10John Hartfiel

      ...

      • script update
      2020-01-30v.9John Hartfiel
      • Release 2019.2
      • document style update
      2019-12-12v.8John Hartfiel
      • Bugfix IO constrains
      2019-06-13v.7John Hartfiel
      • Update Design Files
      • Notes U10 access
      2019-02-04v.6John Hartfiel
      • Update Design Files

      2018-08-30

      v.5John Hartfiel
      • 2018.2 release
      --all

      Page info
      infoTypeModified users
      dateFormatyyyy-MM-dd
      typeFlat

      --


      Legal Notices

      Include Page
      IN:Legal Notices
      IN:Legal Notices





      Scroll Only


      HTML
      <style>
      .wiki-content .columnLayout .cell.aside {
      width: 0%;
      }</style>
      



      HTML
      <style>
      .wiki-content .columnLayout .cell.aside {
      width: 20%;
      }</style>
      


      Scroll pdf ignore


      Custom_fix_page_content

      Table of contents

      Table of Contents
      outlinetrue