Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Template Revision 2.6 - on construction

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"

...

HTML
<!-- tables have all same width (web max 1200px and pdf full page(640px), flexible width or fix width on menu for single column can be used as before) -->
<style>
.wrapped{
  width: 100% !important;
  max-width: 1200px !important;
 }
</style>

...

hiddentrue
idComments

Important General Note:

...

Export PDF to download, if vivado revision is changed!

Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

...

Figure template (note: inner scroll ignore/only only with drawIO object):


DateVersionChangesAuthor
2023-06-013.1.14
  • expandable lists for revision history and supported hardware
wh
2023-05-253.1.13
  • updated according to Vivado 2022.2
ma
2023-02-083.1.12
  • removed content of
    • Special FSBL for QSPI programming
ma
2022-08-243.1.11
  • Modification from link "available short link"
ma
2022-01-253.1.10
  • removed u-boot.dtb from QSPI-Boot mode and SD-Boot mode. Is implemented in BOOT.bin
  • corrected Boot Source File in Boot Script-File
ma
2022-01-143.1.9
  • extended notes for microblaze boot process with linux
  • add u.boot.dtb to petalinux notes
  • add dtb to prebuilt content
  • replace 20.2 with 21.2
jh
2021-06-283.1.8
  • added boot process for Microblaze
  • minor typos, formatting
ma
2021-06-013.1.7
  • carrier reference note
jh
2021-05-043.1.6
  • removed zynq_ from zynq_fsbl
ma
2021-04-283.1.5
  • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
  • minor typos, formatting
ma
2021-04-273.1.4
  • Version History
    • changed from list to table
  • Design flow
    • removed step 5 from Design flow
    • changed link from TE Board Part Files to Vivado Board Part Flow
    • changed cmd shell from picture to codeblock
    • added hidden template for "Copy PetaLinux build image files", depending from hardware
    • added hidden template for "Power on PCB", depending from hardware
  • Usage update of boot process
  • Requirements - Hardware
    • added "*used as reference" for hardware requirements
  • all
    • placed a horizontal separation line under each chapter heading
    • changed title-alignment for tables from left to center
  • all tables
    • added "<project folder>\board_files" in Vivado design sources
ma

3.1.3
  • Design Flow
    • formatting
  • Launch
    • formatting
ma

3.1.2
  • minor typing corrections
  • replaced SDK by Vitis
  • changed from / to \ for windows paths
  • replaced <design name> by <project folder>
  • added "" for path names
  • added boot.scr description
  • added USB for programming
ma

3.1.1
  • swapped order from prebuilt files
  • minor typing corrections
  • removed Win OS path length from Design flow, added as caution in Design flow
ma

3.1
  • Fix problem with pdf export and side scroll bar
  • update 19.2 to 20.2
  • add prebuilt content option


3.0
  • add fix table of content
  • add table size as macro
  • removed page initial creator


Custom_table_size_100

Page properties
hiddentrue
idComments

Important General Note:

  • Export PDF to download, if vivado revision is changed!

  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)
      • Figure template (note: inner scroll ignore/only only with drawIO object):

        Scroll Title
        anchorFigure_xyz
        titleText


        Scroll Ignore

        Create DrawIO object here: Attention if you copy from other page, use


        Scroll Only

        image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



      • Table template:

        • Layout macro can be use for landscape of large tables
        • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)

      • Scroll Title
        anchorTable_xyz
        titleText

        scroll

...

anchorFigure_xyz
titleText
Scroll Ignore

Create DrawIO object here: Attention if you copy from other page, use

Scroll Only

image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed

...

Table template:

  • Layout macro can be use for landscape of large tables
  • Set column width manually(can be used for small tables to fit over whole page) or leave empty (automatically)

...

anchorTable_xyz
titleText

...

Scroll pdf ignore

Table of contents

Table of Contents
outlinetrue

Overview

Page properties
hiddentrue
idComments

Notes :

Refer to http://trenz.org/te0xyz-info for the current online version of this manual and other available documentation.

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design
Excerpt
  • Vivado 2018.3
  • PetaLinux
  • SD
  • ETH
  • USB
  • I2C
  • DP
  • VGA
  • DIPS, LEDs, Buttons
  • Audio
  • MAC from EEPROM
  • Modified FSBL for Resets
  • Special FSBL for QSPI programming

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download
  • add design changes on description

...

anchorTable_DRH
titleDesign Revision History

...

  • initial release

Release Notes and Know Issues

Page properties
hiddentrue
idComments
Notes :
  • add known Design issues and general notes for the current revision
  • do not delete known issue, add fixed version time stamp if  issue fixed

...

anchorTable_KI
titleKnown Issues

...

      • -tablelayout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

...

      • ExampleComment

...

      • 1

...

      • 2



  • ...

Overview

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue

...

Requirements

...

anchorTable_SW
titleSoftware

...

Hardware



Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design
Refer to http://trenz.org/te0802-info for the current online version of this manual and other available documentation.

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design


Excerpt
  • Vitis/Vivado 2022.2
  • PetaLinux
  • SD
  • ETH
  • USB
  • I2C
  • Display Port (DP)
  • VGA
  • DIPS, LEDs, Buttons
  • Audio
  • MAC from EEPROM
  • Modified FSBL for Resets

Revision History

Basic description of TE Board Part Files is available on TE Board Part Files.

Complete List is available on <design name>/board_files/*_board_files.csv

Design supports following modules:

Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design
  • add every update file on the download
  • add design changes on description
Expand
titleExpand List
Scroll Title
anchorTable_

...

DRH
title-alignmentcenter
title

...

Design Revision History

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

...

TE0802-02-2AEV2-A

...

Design supports following carriers:

...

anchorTable_HWC
titleHardware Carrier

...

Additional HW Requirements:

...

anchorTable_AHW
titleAdditional Hardware
DateVivadoProject BuiltAuthorsDescription
2023-06-282022.2TE0802-test_board-vivado_2022.2-build_2_20230628100458.zip
TE0802-test_board_noprebuilt-vivado_2022.2-build_2_20230628100458.zip
Manuela Strücker
  • 2022.2 update
  • new assembly variant
2023-02-102021.2.1TE0802-test_board-vivado_2021.2-build_20_20230210132253.zip
TE0802-test_board_noprebuilt-vivado_2021.2-build_20_20230210132253.zip
Manuela Strücker
  • bugfix display port hot plug detection
2022-12-082021.2.1TE0802-test_board-vivado_2021.2-build_20_20221208094356.zip
TE0802-test_board_noprebuilt-vivado_2021.2-build_20_20221208094356.zip
Manuela Strücker
  • script update
2022-08-242021.2.1TE0802-test_board-vivado_2021.2-build_15_20220824130139.zip
TE0802-test_board_noprebuilt-vivado_2021.2-build_15_20220824130139.zip
Manuela Strücker
  • 2021.2.1 update
  • new assembly variants
2020-06-022019.2TE0802-test_board-vivado_2019.2-build_12_20200602111955.zip
TE0802-test_board_noprebuilt-vivado_2019.2-build_12_20200602112010.zip
John Hartfiel
  • add NVME drivers
2019-08-302018.3TE0802-test_board-vivado_2018.3-build_07_20190830103019.zip
TE0802-test_board_noprebuilt-vivado_2018.3-build_07_20190830103313.zip
Oleksandr Kiyenko, John Hartfiel
  • initial release



Release Notes and Know Issues

...

Page properties
hiddentrue
idComments
Notes :

    • content of the zip file

    For general structure and of the reference design, see Project Delivery - Xilinx devices

    • add known Design issues and general notes for the current revision
    • do not delete known issue, add fixed version time stamp if  issue fixed

    ...


    Scroll Title
    anchorTable_

    ...

    KI
    title-alignmentcenter
    title

    ...

    Known Issues

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Issues

    ...

    Description

    ...

    WorkaroundTo be fixed version
    No known issues---------


    Requirements

    Software

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design

    ...


    Scroll Title
    anchorTable_

    ...

    SW
    title

    ...

    -alignmentcenter
    titleSoftware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault

    ...

    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    SoftwareVersionNote
    Vitis2022.2needed, Vivado is included into Vitis installation
    PetaLinux2022.2needed
    SI ClockBuilder Pro---optional



    Hardware

    ...

    Page properties
    hiddentrue
    idComments

    Notes :

    ...

    • list of software which was used to generate the design
    • mark the module and carrier board, which was used tested with an *

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on "<project folder>\board_files\*_board_files.csv"

    Design supports following modules:

    Expand
    titleExpand List
    Scroll Title
    anchorTable_HWM
    title-alignmentcenter
    titleHardware Modules

    ...

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    File

    ...

    File-Extension

    ...

    Description

    ...

    Debian SD-Image

    ...

    *.img

    ...

    Debian Image for SD-Card

    ...

    MCS-File

    ...

    *.mcs

    ...

    Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

    ...

    MMI-File

    ...

    *.mmi

    ...

    File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

    ...

    Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes

    TE0802-02-2AEV2-A

    2cg_s1gbREV021GB32MBNANASamsung DDR4L
    TE0802-02-2AEU2-A2cg_i1gbREV021GB32MBNANAISSI DDR4L
    TE0802-02-1AEV2-A*1cg_s1gbREV021GB32MBNANASamsung DDR4L
    TE0802-02-1BEV2-A*1eg_s1gbREV021GB32MBNANASamsung DDR4L

    *used as reference


    Design supports following carriers:

    ...

    SREC-File

    ...

    *.srec

    ...

    Scroll Title
    anchorTable_

    ...

    HWC
    title-alignmentcenter
    title

    ...

    Hardware Carrier

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    File

    ...

    File-Extension

    ...

    Description

    ...

    Carrier ModelNotes
    ---

    *used as reference

    Additional HW Requirements:

    Scroll Title
    anchorTable_AHW
    title-alignmentcenter
    titleAdditional Hardware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Additional HardwareNotes
    M2 SSDtested with Samsung 050 Pro 256GB
    headphones
    Monitor with DP supportNote: not all monitors will be supported by Xilinx. Adapter to other connector standard is not supported

    *used as reference

    Content

    Page properties
    hiddentrue
    idComments

    Notes :

    • content of the zip file

    For general structure and of the reference design, see Project Delivery - AMD devices

    Design Sources

    Scroll Title
    anchorTable_DS
    title-alignmentcenter
    titleDesign sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    Vivado<project folder>\block_design
    <project folder>\constraints
    <project folder>\ip_lib
    <project folder>\board_files
    Vivado Project will be generated by TE Scripts
    Vitis<project folder>\sw_libAdditional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation
    PetaLinux<project folder>\os\petalinuxPetaLinux template with current configuration



    Additional Sources

    Scroll Title
    anchorTable_ADS
    title-alignmentcenter
    titleAdditional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    init.sh<project folder>\misc\sd\Additional Initialization Script for Linux



    Prebuilt

    Page properties
    hiddentrue
    idComments

    Notes :

    • prebuilt files
    • Template Table:

      • Scroll Title
        anchorTable_PF
        title-alignmentcenter
        titlePrebuilt files

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        File

        File-Extension

        Description

        BIF-File*.bifFile with description to generate Bin-File
        BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
        BIT-File*.bitFPGA (PL Part) Configuration File
        Boot Script-File*.scr

        Distro Boot Script file

        DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

        Debian SD-Image

        *.img

        Debian Image for SD-Card

        Diverse Reports---Report files in different formats
        Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
        Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
        LabTools Project-File*.lprVivado Labtools Project File

        MCS-File

        *.mcs

        Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

        MMI-File

        *.mmi

        File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

        OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
        Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

        SREC-File

        *.srec

        Converted Software Application for MicroBlaze Processor Systems





    Scroll Title
    anchorTable_PF
    title-alignmentcenter
    titlePrebuilt files (only on ZIP with prebult content)

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File

    File-Extension

    Description

    BIF-File*.bifFile with description to generate Bin-File
    BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
    BIT-File*.bitFPGA (PL Part) Configuration File
    Boot Script-File*.scr

    Distro Boot Script file

    DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface
    Diverse Reports---Report files in different formats
    Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
    Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
    LabTools Project-File*.lprVivado Labtools Project File
    OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
    Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems


    Download

    Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

    Download

    Reference Design is only usable with the specified Vivado/SDK/PetaLinux/SDx version. Do never use different Versions of Xilinx Software for the same Project.

    Page properties
    hiddentrue
    idComments

    Reference Design is available on:

    Design Flow

    Page properties
    hiddentrue
    idComments
    Notes :
    • Basic Design Steps

    • Add/ Remove project specific description

    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first lunch.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/SDK GUI.  For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    1. _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:
      Image Removed
    2. Press 0 and enter to start "Module Selection Guide"
    3. (optional Win OS) Generate Virtual Drive or use short directory  for the reference design (for example x:\<design name>)
    4. Create Project (follow instruction of the product selection guide), settings file will be configured automatically during this process
      1. (optional for manual changes) Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"
        Note: Select correct one, see TE Board Part Files
    5. Create HDF and export to prebuilt folder
      1. Run on Vivado TCL: TE::hw_build_design -export_prebuilt
        Note: Script generate design and export files into \prebuilt\hardware\<short dir>. Use GUI is the same, except file export to prebuilt folder
    6. Create Linux (uboot.elf and image.ub) with exported HDF
      1. HDF is exported to "prebuilt\hardware\<short name>"
        Note: HW Export from Vivado GUI create another path as default workspace.
      2. Create Linux images on VM, see PetaLinux KICKstart
        1. Use TE Template from /os/petalinux
    7. Add Linux files (uboot.elf and image.ub) to prebuilt folder
      1. "prebuilt\os\petalinux\<ddr size>" or "prebuilt\os\petalinux\<short name>"
    8. Generate Programming Files with HSI/SDK
      1. Run on Vivado TCL: TE::sw_run_hsi
        Note: Scripts generate applications and bootable files, which are defined in "sw_lib\apps_list.csv"
      2. (alternative) Start SDK with Vivado GUI or start with TE Scripts on Vivado TCL: TE::sw_run_sdk
        Note: See SDK Projects

    Launch

    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure

    Programming

    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Xilinx documentation for programming and debugging: Vivado/SDK/SDSoC-Xilinx Software Programming and Debugging

    QSPI

    Optional for Boot.bin on QSPI Flash and image.ub on SD.

    1. Connect JTAG and power on carrier with module
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"
    3. Type on Vivado TCL Console: TE::pr_program_flash_binfile -swapp u-boot
      Note: To program with SDK/Vivado GUI, use special FSBL (zynqmp_fsbl_flash) on setup
               optional "TE::pr_program_flash_binfile -swapp hello_te0802" possible
    4. Copy image.ub on SD-Card
      • For correct prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
    5. Set Boot Mode to QSPI-Boot and insered SD.
      • Depends on Carrier, see carrier TRM.

    SD

    1. Copy image.ub, Boot.bin and init.sh(optional on /misc/sd) on SD-Card.
      • For correct prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
    2. Set Boot Mode to SD-Boot.
      • Depends on Carrier, see carrier TRM.
    3. Insert SD-Card in SD-Slot.

    JTAG

    Not used on this Example.

    Usage

    1. Prepare HW like described on section 43680037
    2. Connect UART USB (most cases same as JTAG)
    3. Connect Monitors, ETH, M2...
    4. Select SD Card as Boot Mode (or QSPI - depending on step 1)
      Note: See TRM of the Carrier, which is used.
    5. Power On PCB
      Note: 1. Zynq Boot ROM loads FSBL from SD into OCM, 2. FSBL loads U-boot from SD into DDR, 3. U-boot load Linux from SD into DDR

    Linux

    1. Open Serial Console (e.g. putty)
      1. Speed: 115200
      2. COM Port: Win OS, see device manager, Linux OS see  dmesg |grep tty  (UART is *USB1)
    2. Linux Console:
      Note: Wait until Linux boot finished For Linux Login use:
      1. User Name: root
      2. Password: root
    3. You can use Linux shell now.
      1. I2C 0 Bus type: i2cdetect -y -r 0
      2. RTC check: dmesg | grep rtc
      3. ETH0 works with udhcpc
      4. USB type  "lsusb" or connect USB device
      5. PCIe (M2 SSD) type "lspci"
      6. VGA connect Monitor (it show test screen)
      7. DP: second console will be shown on the monitor, when boot process is finished. (conneced keyboard to USB, to interact with the second console)
      8. Audio type:  aplay /run/media/mmcblk0p1/<filename>.wav  Note:  DP must be connected to activate audio drivers. Use .wav or other aplay supported formate
    4. Option Features
      1. Webserver to get access to Zynq
        1. insert IP on web browser to start web interface
      2. init.sh scripts
        1. add init.sh script on SD, content will be load automatically on startup (template included in ./misc/SD)
    5. All button cross will be reset LEDs with values from DIP
    6. LCD is connected to counter

    Vivado HW Manager

    Page properties
    hiddentrue
    idComments

    Note:

    • Add picture of HW Manager

    • add notes for the signal either groups or topics, for example:

      Control:

      • add controllable IOs with short notes..

      Monitoring:

      • add short notes for signals which will be monitored only
      • SI5338 CLKs:
        • Set radix from VIO signals to unsigned integer. Note: Frequency Counter is inaccurate and displayed unit is Hz
        • expected CLK Frequ:...

    Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder)

    • Monitoring:
      • 25MHz CLK Set radix from VIO signals to unsigned integer. Note: Frequency Counter is inaccurate and displayed unit is Hz)
    Scroll Title
    anchorFigure_VHM
    titleVivado Hardware Manager
    Image Removed

    System Design - Vivado

    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    titleBlock Design
    Image Removed

    ...

    Page properties
    hiddentrue
    idComments

    ...

    Note:

    • optional for Zynq / ZynqMP only

    • add basic PS configuration

    Activated interfaces:

    ...

    anchorTable_PSI
    titlePS Interfaces

    ...

    Constrains

    Basic module constrains

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]

    Design specific constrain

    Code Block
    languageruby
    title_i_io.xdc
    set_property PACKAGE_PIN E3 [get_ports PWM_L]
    set_property PACKAGE_PIN F4 [get_ports PWM_R]
    set_property IOSTANDARD LVCMOS18 [get_ports PWM_*]
    
    #set_property PACKAGE_PIN T2 [ get_ports USER_BTN_DOWN ]
    #set_property PACKAGE_PIN U2 [ get_ports USER_BTN_UP ]
    #set_property PACKAGE_PIN U1 [ get_ports USER_BTN_RIGHT ]
    #set_property PACKAGE_PIN R1 [ get_ports USER_BTN_LEFT ]
    #set_property PACKAGE_PIN T1 [ get_ports USER_BTN_OK ]
    #set_property IOSTANDARD LVCMOS18 [ get_ports USER_BTN_* ]
    
    set_property PACKAGE_PIN P3 [get_ports {USER_SW[0]}]
    set_property PACKAGE_PIN P2 [get_ports {USER_SW[1]}]
    set_property PACKAGE_PIN M1 [get_ports {USER_SW[2]}]
    set_property PACKAGE_PIN L1 [get_ports {USER_SW[3]}]
    set_property PACKAGE_PIN K1 [get_ports {USER_SW[4]}]
    set_property PACKAGE_PIN J2 [get_ports {USER_SW[5]}]
    set_property PACKAGE_PIN M4 [get_ports {USER_SW[6]}]
    set_property PACKAGE_PIN M5 [get_ports {USER_SW[7]}]
    set_property IOSTANDARD LVCMOS18 [get_ports USER_SW*]
    
    set_property PACKAGE_PIN U2 [get_ports {USER_BTN_UP}]
    set_property PACKAGE_PIN U1 [get_ports {USER_BTN_RIGHT}]
    set_property PACKAGE_PIN T2 [get_ports {USER_BTN_DOWN}]
    set_property PACKAGE_PIN R1 [get_ports {USER_BTN_LEFT}]
    set_property PACKAGE_PIN T1 [get_ports {USER_BTN_OK}]
    set_property IOSTANDARD LVCMOS18 [get_ports USER_BTN*]
    
    set_property PACKAGE_PIN P1 [get_ports {LED[0]}]
    set_property PACKAGE_PIN N2 [get_ports {LED[1]}]
    set_property PACKAGE_PIN M2 [get_ports {LED[2]}]
    set_property PACKAGE_PIN L2 [get_ports {LED[3]}]
    set_property PACKAGE_PIN J1 [get_ports {LED[4]}]
    set_property PACKAGE_PIN H2 [get_ports {LED[5]}]
    set_property PACKAGE_PIN L4 [get_ports {LED[6]}]
    set_property PACKAGE_PIN L3 [get_ports {LED[7]}]
    set_property IOSTANDARD LVCMOS18 [get_ports LED*]
    
    set_property PACKAGE_PIN F2 [get_ports {VGA_R[0]}]
    set_property PACKAGE_PIN F1 [get_ports {VGA_R[1]}]
    set_property PACKAGE_PIN G2 [get_ports {VGA_R[2]}]
    set_property PACKAGE_PIN G1 [get_ports {VGA_R[3]}]
    set_property PACKAGE_PIN C2 [get_ports {VGA_G[0]}]
    set_property PACKAGE_PIN D2 [get_ports {VGA_G[1]}]
    set_property PACKAGE_PIN D1 [get_ports {VGA_G[2]}]
    set_property PACKAGE_PIN E1 [get_ports {VGA_G[3]}]
    set_property PACKAGE_PIN A3 [get_ports {VGA_B[0]}]
    set_property PACKAGE_PIN A2 [get_ports {VGA_B[1]}]
    set_property PACKAGE_PIN B2 [get_ports {VGA_B[2]}]
    set_property PACKAGE_PIN B1 [get_ports {VGA_B[3]}]
    set_property PACKAGE_PIN B7 [get_ports {VGA_VS[0]}]
    set_property PACKAGE_PIN A6 [get_ports {VGA_HS[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_B[3]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_B[2]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_B[1]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_B[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_G[3]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_G[2]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_G[1]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_G[0]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {VGA_HS[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_R[3]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_R[2]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_R[1]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_R[0]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {VGA_VS[0]}]
    
    set_property PACKAGE_PIN J3 [get_ports CLK_25MHZ]
    set_property IOSTANDARD LVCMOS18 [get_ports CLK_25MHZ]
    # SEG_C[0] = SEG_CA
    set_property PACKAGE_PIN E4 [get_ports {SEG_C[0]}]
    set_property PACKAGE_PIN D3 [get_ports {SEG_C[1]}]
    set_property PACKAGE_PIN N5 [get_ports {SEG_C[2]}]
    set_property PACKAGE_PIN P5 [get_ports {SEG_C[3]}]
    set_property PACKAGE_PIN N4 [get_ports {SEG_C[4]}]
    set_property PACKAGE_PIN C3 [get_ports {SEG_C[5]}]
    set_property PACKAGE_PIN N3 [get_ports {SEG_C[7]}]
    set_property PACKAGE_PIN R5 [get_ports {SEG_C[6]}]
    set_property IOSTANDARD LVCMOS18 [get_ports SEG_C*]
    
    set_property PACKAGE_PIN A8 [get_ports {SEG_AN[0]}]
    set_property PACKAGE_PIN A9 [get_ports {SEG_AN[1]}]
    set_property PACKAGE_PIN B9 [get_ports {SEG_AN[2]}]
    set_property PACKAGE_PIN A7 [get_ports {SEG_AN[3]}]
    set_property PACKAGE_PIN B6 [get_ports {SEG_AN[4]}]
    set_property IOSTANDARD LVCMOS33 [get_ports SEG_AN*]
    
    
    

    Software Design - SDK/HSI

    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For SDK project creation, follow instructions from:

    SDK Projects

    Application

    ...

    Reference Design is available on:

    Design Flow

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Notes :
    • Basic Design Steps

    • Add/ Remove project specific description


    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.


    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    Note

    Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")


    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

      Code Block
      languagebash
      themeMidnight
      title_create_win_setup.cmd/_create_linux_setup.sh
      ------------------------Set design paths----------------------------
      -- Run Design with: _create_win_setup
      -- Use Design Path: <absolute project path>
      --------------------------------------------------------------------
      -------------------------TE Reference Design---------------------------
      --------------------------------------------------------------------
      -- (0)  Module selection guide, project creation...prebuilt export...
      -- (1)  Create minimum setup of CMD-Files and exit Batch
      -- (2)  Create maximum setup of CMD-Files and exit Batch
      -- (3)  (internal only) Dev
      -- (4)  (internal only) Prod
      -- (c)  Go to CMD-File Generation (Manual setup)
      -- (d)  Go to Documentation (Web Documentation)
      -- (g)  Install Board Files from Xilinx Board Store (beta)
      -- (a)  Start design with unsupported Vivado Version (beta)
      -- (x)  Exit Batch (nothing is done!)
      ----
      Select (ex.:'0' for module selection guide):


    2. Press 0 and enter to start "Module Selection Guide"
    3. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
      • optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

        Note

        Note: Select correct one, see also Vivado Board Part Flow


    4. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
      TE::hw_build_design -export_prebuilt


      Info

      Using Vivado GUI is the same, except file export to prebuilt folder.


    5. Create and configure your PetaLinux project with exported .xsa-file, see PetaLinux KICKstart
      • use TE Template from "<project folder>\os\petalinux"
      • use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . Note: HW Export from Vivado GUI creates another path as default workspace.

      • The build images are located in the "<plnx-proj-root>/images/linux" directory

    6. Configure the boot.scr file as needed, see Distro Boot with Boot.scr

    7. Generate Programming Files with Vitis
      1. Copy PetaLinux build image files to prebuilt folder
        • copy u-boot.elf, system.dtb, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder
          Info
          "<project folder>\prebuilt\os\petalinux\<ddr size>" or "<project folder>\prebuilt\os\petalinux\<short name>"
          Page properties
          hiddentrue
          idComments

          This step depends on Xilinx Device/Hardware

          for Zynq-7000 series

          • copy u-boot.elf, u-boot.dtb, system.dtb, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

          for ZynqMP

          • copy u-boot.elf, u-boot.dtb, system.dtb, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

          for Microblaze

          • ...


      2. Generate Programming Files

        Code Block
        languagepy
        themeMidnight
        titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
        TE::sw_run_vitis -all
        TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


        Note

        TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis


    8. Generate Programming Files with Petalinux (alternative), see PetaLinux KICKstart

    Launch

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure

    Programming

    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Xilinx documentation for programming and debugging: Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging

    Get prebuilt boot binaries

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
    2. Press 0 and enter to start "Module Selection Guide"
      1. Select assembly version
      2. Validate selection
      3. Select create and open delivery binary folder

        Info

        Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated


    QSPI-Boot mode

    Option for Boot.bin on QSPI Flash and image.ub and boot.scr on SD or USB.

    1. Connect JTAG and power on carrier with module
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script programs BOOT.bin on QSPI flash)
      TE::pr_program_flash -swapp u-boot
      TE::pr_program_flash -swapp hello_te0802 (optional)


      Note

      To program with Vitis/Vivado GUI, use special FSBL (fsbl_flash) on setup


    3. Copy image.ub and boot.scr on SD or USB
      • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder,see: Get prebuilt boot binaries
      • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
    4. Set Boot Mode to QSPI-Boot and insert SD or USB.
      • Depends on Carrier, see carrier TRM.

    SD-Boot mode

    1. Copy image.ub, boot.scr and Boot.bin on SD
      • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder, see: Get prebuilt boot binaries
      • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
    2. Set Boot Mode to SD-Boot.
      • Depends on Carrier, see carrier TRM.
    3. Insert SD-Card in SD-Slot.

    JTAG

    Not used on this Example.

    Usage

    1. Prepare HW like described on section Programming
    2. Connect UART USB (most cases same as JTAG)
    3. Connect Monitors, ETH, M2...
    4. Select SD Card as Boot Mode (or QSPI - depending on step 1)

      Info

      Note: See TRM of the Carrier, which is used.


      Tip

      Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.
      The boot options described above describe the common boot processes for this hardware; other boot options are possible.
      For more information see Distro Boot with Boot.scr


    5. Power On PCB

      Expand
      titleboot process

      1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init PS, programs PL using the bitstream and loads U-boot from SD into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      Page properties
      hiddentrue
      idComments

      This step depends on Xilinx Device/Hardware

      for Zynq-7000 series

      1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for ZynqMP???

      1. ZynqMP Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for Microblaze with Linux

      1. FPGA Loads Bitfile from Flash,

      2. MCS Firmware configure SI5338 and starts Microblaze, (only if mcs is available)

      3. SREC Bootloader from Bitfile Firmware loads U-Boot into DDR (This takes a while),

      4. U-boot loads Linux from QSPI Flash into DDR


      for native FPGA

      ...


    Linux

    1. Open Serial Console (e.g. putty)
      • Speed: 115200
      • select COM Port

        Info

        Win OS, see device manager, Linux OS see dmesg |grep tty (UART is *USB1)


    2. Linux Console:

      Code Block
      languagebash
      themeMidnight
      # password disabled
      petalinux login: root
      Password: root


      Info

      Note: Wait until Linux boot finished


    3. You can use Linux shell now.

      Code Block
      languagebash
      themeMidnight
      I2C
      	i2cdetect -l        (Shows a list of the available I2C buses) 
      	i2cdetect -y -r 0	(check I2C 0 Bus)
      RTC
      	dmesg | grep rtc	(RTC check)
      ETH0
      	udhcpc				(ETH0 check)
      USB
      	lsusb				(USB check)
      PCIe (M2 SSD)
      	lspci				(PCIe check)
      Audio				
      	aplay /<link to mounted sd card>/<filename>.wav  (e.g. aplay /run/mount/sd/<filename>.wav)
      	Note: Display Port must be connected to activate audio drivers. Use .wav or other aplay supported formate
      VGA	
      	connect VGA to monitor and adjust source (it shows test pattern)
      Display port
      	second console will be shown on the monitor, when boot process is finished. 
      	Note: connect keyboard to TE0802 USB, to interact with the second console
      		petalinux login: root
      		Password: root


    4. Option Features

      • Webserver to get access to Zynq
        • insert IP on web browser to start web interface
      • init.sh scripts
        • add init.sh script on SD, content will be load automatically on startup (template included in "<project folder>\misc\SD")
    5. All button cross will be reset LEDs with values from DIP
    6. LCD is connected to counter

    Vivado HW Manager

    Page properties
    hiddentrue
    idComments

    Note:

    • Add picture of HW Manager

    • add notes for the signal either groups or topics, for example:

      Control:

      • add controllable IOs with short notes..

      Monitoring:

      • add short notes for signals which will be monitored only
      • SI5338 CLKs:
        • Set radix from VIO signals to unsigned integer. Note: Frequency Counter is inaccurate and displayed unit is Hz
        • expected CLK Frequency...
    Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder)
    • Monitoring:
      • 25MHz CLK Set radix from VIO signals to unsigned integer. Note: Frequency Counter is inaccurate and displayed unit is Hz
    Scroll Title
    anchorFigure_VHM
    title-alignmentcenter
    titleVivado Hardware Manager
    Image Added

    System Design - Vivado

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    title-alignmentcenter
    titleBlock Design
    Image Added

    PS Interfaces

    Page properties
    hiddentrue
    idComments

    Note:

    • optional for Zynq / ZynqMP only

    • add basic PS configuration

    Activated interfaces:

    Scroll Title
    anchorTable_PSI
    title-alignmentcenter
    titlePS Interfaces

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeNote
    DDR
    QSPIMIO
    SD0MIO
    I2C0MIO
    I2C1MIO
    UART0MIO
    GPIO0MIO
    GPIO1MIO
    GPIO2MIO
    SWDT0..1
    TTC0..3
    GEM3MIO
    USB0MIO + GT Lane 1
    PCIeMIO + GT Lane 0 (as rootcomplex)
    DPMIO + GT Lane 2


    Constrains

    Basic module constrains

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]

    Design specific constrain

    Code Block
    languageruby
    title_i_io.xdc
    set_property PACKAGE_PIN E3 [get_ports PWM_L]
    set_property PACKAGE_PIN F4 [get_ports PWM_R]
    set_property IOSTANDARD LVCMOS18 [get_ports PWM_*]
    
    set_property PACKAGE_PIN P3 [get_ports {USER_SW[0]}]
    set_property PACKAGE_PIN P2 [get_ports {USER_SW[1]}]
    set_property PACKAGE_PIN M1 [get_ports {USER_SW[2]}]
    set_property PACKAGE_PIN L1 [get_ports {USER_SW[3]}]
    set_property PACKAGE_PIN K1 [get_ports {USER_SW[4]}]
    set_property PACKAGE_PIN J2 [get_ports {USER_SW[5]}]
    set_property PACKAGE_PIN M4 [get_ports {USER_SW[6]}]
    set_property PACKAGE_PIN M5 [get_ports {USER_SW[7]}]
    set_property IOSTANDARD LVCMOS18 [get_ports USER_SW*]
    
    set_property PACKAGE_PIN U2 [get_ports {USER_BTN_UP}]
    set_property PACKAGE_PIN U1 [get_ports {USER_BTN_RIGHT}]
    set_property PACKAGE_PIN T2 [get_ports {USER_BTN_DOWN}]
    set_property PACKAGE_PIN R1 [get_ports {USER_BTN_LEFT}]
    set_property PACKAGE_PIN T1 [get_ports {USER_BTN_OK}]
    set_property IOSTANDARD LVCMOS18 [get_ports USER_BTN*]
    
    set_property PACKAGE_PIN P1 [get_ports {LED[0]}]
    set_property PACKAGE_PIN N2 [get_ports {LED[1]}]
    set_property PACKAGE_PIN M2 [get_ports {LED[2]}]
    set_property PACKAGE_PIN L2 [get_ports {LED[3]}]
    set_property PACKAGE_PIN J1 [get_ports {LED[4]}]
    set_property PACKAGE_PIN H2 [get_ports {LED[5]}]
    set_property PACKAGE_PIN L4 [get_ports {LED[6]}]
    set_property PACKAGE_PIN L3 [get_ports {LED[7]}]
    set_property IOSTANDARD LVCMOS18 [get_ports LED*]
    
    set_property PACKAGE_PIN F2 [get_ports {VGA_R[0]}]
    set_property PACKAGE_PIN F1 [get_ports {VGA_R[1]}]
    set_property PACKAGE_PIN G2 [get_ports {VGA_R[2]}]
    set_property PACKAGE_PIN G1 [get_ports {VGA_R[3]}]
    set_property PACKAGE_PIN C2 [get_ports {VGA_G[0]}]
    set_property PACKAGE_PIN D2 [get_ports {VGA_G[1]}]
    set_property PACKAGE_PIN D1 [get_ports {VGA_G[2]}]
    set_property PACKAGE_PIN E1 [get_ports {VGA_G[3]}]
    set_property PACKAGE_PIN A3 [get_ports {VGA_B[0]}]
    set_property PACKAGE_PIN A2 [get_ports {VGA_B[1]}]
    set_property PACKAGE_PIN B2 [get_ports {VGA_B[2]}]
    set_property PACKAGE_PIN B1 [get_ports {VGA_B[3]}]
    set_property PACKAGE_PIN B7 [get_ports {VGA_VS[0]}]
    set_property PACKAGE_PIN A6 [get_ports {VGA_HS[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_B[3]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_B[2]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_B[1]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_B[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_G[3]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_G[2]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_G[1]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_G[0]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {VGA_HS[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_R[3]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_R[2]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_R[1]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {VGA_R[0]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {VGA_VS[0]}]
    
    set_property PACKAGE_PIN J3 [get_ports CLK_25MHZ]
    set_property IOSTANDARD LVCMOS18 [get_ports CLK_25MHZ]
    # SEG_C[0] = SEG_CA
    set_property PACKAGE_PIN E4 [get_ports {SEG_C[0]}]
    set_property PACKAGE_PIN D3 [get_ports {SEG_C[1]}]
    set_property PACKAGE_PIN N5 [get_ports {SEG_C[2]}]
    set_property PACKAGE_PIN P5 [get_ports {SEG_C[3]}]
    set_property PACKAGE_PIN N4 [get_ports {SEG_C[4]}]
    set_property PACKAGE_PIN C3 [get_ports {SEG_C[5]}]
    set_property PACKAGE_PIN N3 [get_ports {SEG_C[7]}]
    set_property PACKAGE_PIN R5 [get_ports {SEG_C[6]}]
    set_property IOSTANDARD LVCMOS18 [get_ports SEG_C*]
    
    set_property PACKAGE_PIN A8 [get_ports {SEG_AN[0]}]
    set_property PACKAGE_PIN A9 [get_ports {SEG_AN[1]}]
    set_property PACKAGE_PIN B9 [get_ports {SEG_AN[2]}]
    set_property PACKAGE_PIN A7 [get_ports {SEG_AN[3]}]
    set_property PACKAGE_PIN B6 [get_ports {SEG_AN[4]}]
    set_property IOSTANDARD LVCMOS33 [get_ports SEG_AN*]
    
    
    

    Software Design - Vitis

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For Vitis project creation, follow instructions from:

    Vitis


    Application

    Page properties
    hiddentrue
    idComments

    ----------------------------------------------------------

    FPGA Example

    ----------------------------------------------------------

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 2022.2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 2022.2 xilisf_v5_11

    • Changed default Flash type to 5.


    ----------------------------------------------------------

    Zynq Example:

    ----------------------------------------------------------

    fsbl

    TE modified 2022.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY


    ----------------------------------------------------------

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO


    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    ...

    ----------------------------------------------------------

    ...

    FPGA Example

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 2018.3 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 2018.3 xilisf_v5_11

    • Changed default Flash type to 5.

    ----------------------------------------------------------

    Zynq Example:

    zynq_fsbl

    TE modified 2018.3 FSBL

    General:

    • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot  platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY

    zynq_fsbl_flash

    TE modified 2018.3 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      •  Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified 2018.3 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c(search for 'TE Mod' on source code)
    • Add Files:  te_xfsbl_hooks.h/.c (for hooks and board)\n\
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_fsbl_flash

    TE modified 2018.3 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      •  Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. SDK/HSI is used to generate Boot.bin.

    Template location: ./sw_lib/sw_apps/

    zynqmp_fsbl

    TE modified 2018.3 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c(search for 'TE Mod' on source code)
    • Add Files:  te_xfsbl_hooks.h/.c (for hooks and board)\n\
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • ETH+OTG+SSD Reset over MIO

    zynqmp_fsbl_flash

    TE modified 2018.3 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      •  Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    zynqmp_pmufw

    Xilinx default PMU firmware.

    Software Design -  PetaLinux

    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"

    For PetaLinux installation and  project creation, follow instructions from:

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    • CONFIG_SUBSYSTEM_ETHERNET_PSU_ETHERNET_3_MAC=""

    U-Boot

    Start with petalinux-config -c u-boot

    Changes:

    • CONFIG_ENV_IS_NOWHERE=y

    • CONFIG_ENV_IS_IN_SPI_FLASH is not set

    Change platform-top.h:

    Code Block
    languagejs
    #include <configs/platform-auto.h>
    #define CONFIG_SYS_BOOTM_LEN 0xF000000
    
    #define DFU_ALT_INFO_RAM \
    "dfu_ram_info=" \
    "setenv dfu_alt_info " \
    "image.ub ram $netstart 0x1e00000\0" \
    "dfu_ram=run dfu_ram_info && dfu 0 ram 0\0" \
    "thor_ram=run dfu_ram_info && thordown 0 ram 0\0"
    
    #define DFU_ALT_INFO_MMC \
    "dfu_mmc_info=" \
    "set dfu_alt_info " \
    "${kernel_image} fat 0 1\\\\;" \
    "dfu_mmc=run dfu_mmc_info && dfu 0 mmc 0\0" \
    "thor_mmc=run dfu_mmc_info && thordown 0 mmc 0\0"
    
    /*Required for uartless designs */
    #ifndef CONFIG_BAUDRATE
    #define CONFIG_BAUDRATE 115200
    #ifdef CONFIG_DEBUG_UART
    #undef CONFIG_DEBUG_UART
    #endif
    #endif
    
    /*Define CONFIG_ZYNQMP_EEPROM here and its necessaries in u-boot menuconfig if you had EEPROM memory. */
    #define CONFIG_ZYNQMP_EEPROM
    #ifdef CONFIG_ZYNQMP_EEPROM
    #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
    #define CONFIG_CMD_EEPROM
    #define CONFIG_ZYNQ_EEPROM_BUS 1
    #define CONFIG_ZYNQ_GEM_EEPROM_ADDR 0x50
    #define CONFIG_ZYNQ_GEM_I2C_MAC_OFFSET 0xFA
    #endif
    
    

    Device Tree

    ...

    languagejs

    ...

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Template location: "<project folder>\sw_lib\sw_apps\"

    zynqmp_fsbl

    TE modified 2022.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_pmufw

    Xilinx default PMU firmware.

    hello_te0802

    Hello TE0802 is a Xilinx Hello World example as endless loop instead of one console output.

    Software Design -  PetaLinux

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"

    For PetaLinux installation and  project creation, follow instructions from:

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    • add new flash partition for bootscr and sizing
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART0_SIZE=0xA00000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART1_SIZE=0x1500000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART2_SIZE=0x40000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_NAME="bootscr"
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_SIZE=0x40000
    • Identification
      • CONFIG_SUBSYSTEM_HOSTNAME="Trenz"
      • CONFIG_SUBSYSTEM_PRODUCT="TE0802"

    U-Boot

    Start with petalinux-config -c u-boot

    Changes:

    • MAC from eeprom together with uboot and device tree settings:
      • CONFIG_ENV_OVERWRITE=y
      • CONFIG_ZYNQ_MAC_IN_EEPROM is not set
      • CONFIG_NET_RANDOM_ETHADDR is not set
    • Boot Modes:
      • CONFIG_QSPI_BOOT=y
      • CONFIG_SD_BOOT=y
      • CONFIG_ENV_IS_IN_FAT is not set
      • CONFIG_ENV_IS_IN_NAND is not set
      • CONFIG_ENV_IS_IN_SPI_FLASH is not set
      • CONFIG_SYS_REDUNDAND_ENVIRONMENT is not set
      • CONFIG_BOOT_SCRIPT_OFFSET=0x1F40000
    • Identification
      • CONFIG_IDENT_STRING=" TE0802"

    Change platform-top.h:

    Code Block
    languagejs
    # no changes

    Device Tree

    Code Block
    languagejs
    titleproject-spec\meta-user\recipes-bsp\device-tree\files\system-user.dtsi
    /include/ "system-conf.dtsi"
     
     
    /*------------------ gtr --------------------*/
    
    //https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/18841716/Zynq+Ultrascale+MPSOC+Linux+SIOU+driver
     
    / {
      refclk2:psgtr_dp_clock {
              compatible = "fixed-clock";
              #clock-cells = <0x00>;
              clock-frequency = <27000000>;
      };
      
       refclk1:psgtr_usb_clock {
               compatible = "fixed-clock";
               #clock-cells = <0x00>;
               clock-frequency = <26000000>;
       };  
       
       refclk0:psgtr_pcie_clock {
               compatible = "fixed-clock";
               #clock-cells = <0x00>;
               clock-frequency = <100000000>;
       };
        
      //refclk1:psgtr_sata_clock {
      //        compatible = "fixed-clock";
      //        #clock-cells = <0x00>;
      //        clock-frequency = <150000000>;
      //};
        
      //refclk0:psgtr_unused_clock {
      //        compatible = "fixed-clock";
      //        #clock-cells = <0x00>;
      //        clock-frequency = <100000000>;
      //};
    };
     
    &psgtr {
      clocks = <&refclk0 &refclk1 &refclk2>;
      /* ref clk instances used per lane */
      clock-names = "ref0\0ref1\0ref2";
    };
    
    
    /*------------------ SD --------------------*/
    &sdhci0 {
        disable-wp;
        no-1-8-v;
    };
     
     
    /*------------------ USB --------------------*/
    &dwc3_0 {
        status = "okay";
        dr_mode = "host";
        snps,usb3_lpm_capable;
        snps,dis_u3_susphy_quirk;
        snps,dis_u2_susphy_quirk;
        phy-names = "usb2-phy","usb3-phy";
        maximum-speed = "super-speed";
    };
     
    
    /*------------------ LEDs --------------------*/
    #include <dt-bindings/gpio/gpio.h>
    #include <dt-bindings/leds/common.h>
    
    / {
        leds {
            compatible = "gpio-leds";
            ndp_en {
                label = "ndp_en";
                gpios = <&gpio 26 GPIO_ACTIVE_HIGH>;
                default-state = "on";
            };
            ssd_sleep {
                label = "ssd_sleep";
                gpios = <&gpio 32 GPIO_ACTIVE_HIGH>;
                default-state = "on";
            };
            usb_reset {
                label = "usb_reset";
                gpios = <&gpio 38 GPIO_ACTIVE_HIGH>;
                default-state = "on";
            };
        };
    };
    
    
    /*------------------ ETH PHY --------------------*/
    &gem3 {
        phy-handle = <&phy0>;
        
        nvmem-cells = <&eth0_addr>;
        nvmem-cell-names = "mac-address";
        
        phy0: phy0@1 {
            device_type = "ethernet-phy";
            reg = <1>;
        };
    };
    
    
    /*------------------ QSPI --------------------*/
    &qspi {
        #address-cells = <1>;
        #size-cells = <0>;
        status = "okay";
        flash0: flash@0 {
            compatible = "jedec,spi-nor";
            reg = <0x0>;
            #address-cells = <1>;
            #size-cells = <1>;
        };
    };
    

    ...

     

    ...

    
    

    ...

     

    ...

    
    /*------------------ I2C --------------------*/
    &i2c1 {
        

    ...

    eeprom: 

    ...

    eeprom@50 {
            

    ...

    compatible = "microchip,24aa025", "

    ...

    atmel,24c02";
            reg = 

    ...

    <0x50>;
            

    ...

    
            #address-cells = <1>;
            #size-cells = 

    ...

    <1>;
    

    ...

      

    ...

     

    ...

     

    ...

        

    ...

    eth0_addr: 

    ...

    eth-mac-addr@FA {
            

    ...

     

    ...

     reg = <0xFA 0x06>;
            

    ...

    };
    

    ...

          

    ...

     

    ...

     

    ...

    
        };
    };

    ...

    Kernel

    Start with petalinux-config -c kernel

    Changes:

    • Only needed to fix JTAG Debug issue:
      • # CONFIG_CPU_FREQ is not set
    • Support PCIe memory card
      • CONFIG_NVME_CORE=y
      • CONFIG_BLK_DEV_NVME=y
      • # CONFIG_NVME_MULTIPATH is not set
      • # CONFIG_NVME_HWMON is not set
      • # CONFIG_NVME_TCP is not set
      • CONFIG_NVME_TARGET=y
      • # CONFIG_NVME_

    ...

      • TARGET_

    ...

      • PASSTHRU is not set

    ...

    CONFIG_CPU_FREQ is not set (only needed to fix JTAG Debug issue)

    ...

      • # CONFIG_NVME_TARGET_LOOP is not set
      • # CONFIG_NVME_TARGET_FC is not set
      • # CONFIG_NVME_TARGET_TCP is not set
      • CONFIG_SATA_AHCI=y
      • CONFIG_SATA_MOBILE_LPM_POLICY=0

    ...

    Rootfs

    Start with petalinux-config -c rootfs

    Changes:

    • For web server app:
      • CONFIG_

    ...

      • busybox-

    ...

      • httpd=y
    • For additional test tools only:
      • CONFIG_

    ...

      • i2c-

    ...

      • tools=y

    ...

      • CONFIG_packagegroup-petalinux-utils=y    (util-linux,cpufrequtils,bridge-utils,mtd-utils,usbutils,pciutils,canutils,i2c-tools,smartmontools,e2fsprogs)
    • For audio application
      • CONFIG_alsa-utils=y
      • CONFIG_alsa-utils-aplay=y
    • For auto login:
      • CONFIG_auto-login=y

    ...

    Applications

    startup

    Script App to load init.sh from SD Card if available.

    ...

      • CONFIG_ADD_EXTRA_USERS="root:root;petalinux:;"

    FSBL patch (alternative for vitis fsbl trenz patch)

    See "<project folder>\os\petalinux\project-spec\meta-user\recipes-bsp\embeddedsw"

    Applications

    See "<project folder>\os\petalinux\project-spec\meta-user\recipes-

    ...

    apps\"

    startup

    Script App to load init.sh from SD Card if available.

    webfwu

    Webserver application

    ...

    suitable for Zynq access. Need busybox-httpd

    Additional Software

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • Add description for other Software, for example SI CLK Builder ...
    • SI5338 and SI5345 also Link to:

    No additional software is needed.

    Appx. A: Change History and Legal

    ...

    Notices

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Document Change History

    To

    ...

    get content of older

    ...

    revision got to "Change History"

    ...

    of this page and select older document revision number.

    Page properties
    hiddentrue
    idComments
    • Note this list must be only updated, if the document is online on public doc!
    • It's semi automatically, so do following
      • Add new row below first

      • Copy "Page Information Macro(date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

      • Metadata is only used of compatibility of older exports


    Scroll Title
    anchorTable_dch
    title-alignmentcenter
    titleDocument change history.

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths2*,*,3*,4*
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateDocument Revision

    Authors

    Description

    Page info
    modified-date
    modified-date
    dateFormatyyyy-MM-dd

    Page info
    infoTypeCurrent version
    dateFormatyyyy-MM-dd
    prefixv.
    typeFlat

    Page info
    infoType

    ...

    Page info
    infoTypeModified by
    typeFlat

    Modified by
    typeFlat

    • 2022.2
    • new assembly variant
    2023-02-10v.9Manuela Strücker
    • bugfix display port hot plug detection
    2022-12-08v.8Manuela Strücker
    • script update
    2022-09-09v.7Manuela Strücker
    • 2021.2.1
    • new assembly variant
    2020-06-03v.2John Hartfiel
    • 2019.2
    2019-08-30v.1John Hartfiel
    • 2018.3
    --all

    Page info
    infoTypeModified users
    dateFormatyyyy-MM-dd
    typeFlat

    --


    Legal Notices

    Include Page
    IN:Legal Notices
    IN:Legal Notices



    Scroll Only


    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 0%;
    }</style>
    



    Scroll pdf ignore


    Custom_fix_page_content

    Table of contents

    Table of Contents
    outlinetrue