Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"


20210628820210172021-05-046 zynq_ from zynq_fsbl2021042852021-04-274
DateVersionChangesAuthor
2023-12-143.1.
  • added boot process for Microblaze
  • minor typos, formatting
ma17
  • updated according to Vivado 2023.2
ma
2023-06-133.1.
  • carrier reference note
jh16
  • Design flow:
    • added alternative programming files in Petalinux
  • added chapter FSBL Patch in Software Design - Petalinux
ma
2023-06-013.1.15
  • removed
  • u-boot.dtb from Design flow
ma
2023-06-013.1.
  • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
  • minor typos, formatting
ma14
  • expandable lists for revision history and supported hardware
wh
2023-05-253.1.13
  • updated according to Vivado 2022.2
ma
2023-02-083.1.
  • Version History
    • changed from list to table
  • Design flow
    • removed step 5 from Design flow
    • changed link from TE Board Part Files to Vivado Board Part Flow
    • changed cmd shell from picture to codeblock
    • added hidden template for "Copy PetaLinux build image files", depending from hardware
    • added hidden template for "Power on PCB", depending from hardware
  • Usage update of boot process
  • Requirements - Hardware
    • added "*used as reference" for hardware requirements
  • all
    • placed a horizontal separation line under each chapter heading
    • changed title-alignment for tables from left to center
  • all tables
    • added "<project folder>\board_files" in Vivado design sources
ma3.1.3
  • Design Flow
    • formatting
  • Launch
    • formatting
ma3.1.2
  • minor typing corrections
  • replaced SDK by Vitis
  • changed from / to \ for windows paths
  • replaced <design name> by <project folder>
  • added "" for path names
  • added boot.src description
  • added USB for programming
ma3.1.1
  • swapped order from prebuilt files
  • minor typing corrections
  • removed Win OS path length from Design flow, added as caution in Design flow
ma3.1
  • Fix problem with pdf export and side scroll bar
  • update 19.2 to 20.2
  • add prebuilt content option
3.0
  • add fix table of content
  • add table size as macro
  • removed page initial creator

Custom_table_size_100

Page properties
hiddentrue
idComments

Important General Note:

  • Export PDF to download, if vivado revision is changed!

  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)

    Figure template (note: inner scroll ignore/only only with drawIO object):

    12
    • removed content of
      • Special FSBL for QSPI programming
    ma
    2022-08-243.1.11
    • Modification from link "available short link"
    ma
    2022-01-253.1.10
    • removed u-boot.dtb from QSPI-Boot mode and SD-Boot mode. Is implemented in BOOT.bin
    • corrected Boot Source File in Boot Script-File
    ma
    2022-01-143.1.9
    • extended notes for microblaze boot process with linux
    • add u.boot.dtb to petalinux notes
    • add dtb to prebuilt content
    • replace 20.2 with 21.2
    jh
    2021-06-283.1.8
    • added boot process for Microblaze
    • minor typos, formatting
    ma
    2021-06-013.1.7
    • carrier reference note
    jh
    2021-05-043.1.6
    • removed zynq_ from zynq_fsbl
    ma
    2021-04-283.1.5
    • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
    • minor typos, formatting
    ma
    2021-04-273.1.4
    • Version History
      • changed from list to table
    • Design flow
      • removed step 5 from Design flow
      • changed link from TE Board Part Files to Vivado Board Part Flow
      • changed cmd shell from picture to codeblock
      • added hidden template for "Copy PetaLinux build image files", depending from hardware
      • added hidden template for "Power on PCB", depending from hardware
    • Usage update of boot process
    • Requirements - Hardware
      • added "*used as reference" for hardware requirements
    • all
      • placed a horizontal separation line under each chapter heading
      • changed title-alignment for tables from left to center
    • all tables
      • added "<project folder>\board_files" in Vivado design sources
    ma

    3.1.3
    • Design Flow
      • formatting
    • Launch
      • formatting
    ma

    3.1.2
    • minor typing corrections
    • replaced SDK by Vitis
    • changed from / to \ for windows paths
    • replaced <design name> by <project folder>
    • added "" for path names
    • added boot.src description
    • added USB for programming
    ma

    3.1.1
    • swapped order from prebuilt files
    • minor typing corrections
    • removed Win OS path length from Design flow, added as caution in Design flow
    ma

    3.1
    • Fix problem with pdf export and side scroll bar
    • update 19.2 to 20.2
    • add prebuilt content option


    3.0
    • add fix table of content
    • add table size as macro
    • removed page initial creator


    Custom_table_size_100

    Page properties
    hiddentrue
    idComments

    Important General Note:

    • Export PDF to download, if vivado revision is changed!

    • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

      • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)
        • Figure template (note: inner scroll ignore/only only with drawIO object):

          Scroll Title
          anchorFigure_xyz
          titleText


          Scroll Ignore

          Create DrawIO object here: Attention if you copy from other page, use


          Scroll Only

          image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



        • Table template:

          • Layout macro can be use for landscape of large tables
          • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)

        • Scroll Title
          anchorTable_xyz
          titleText

          Scroll Table Layout
          orientationportrait
          sortDirectionASC
          repeatTableHeadersdefault
          style
          widths
          sortByColumn1
          sortEnabledfalse
          cellHighlightingtrue

          ExampleComment
          12



    • ...


    Overview

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    Scroll Title
    anchorFigure_xyz
    titleText
    Scroll Ignore

    Create DrawIO object here: Attention if you copy from other page, use

    Scroll Only

    image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed

  • Table template:

    • Layout macro can be use for landscape of large tables
    • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)
  • Scroll Title
    anchorTable_xyz
    titleText
    Scroll Table LayoutorientationportraitsortDirectionASCrepeatTableHeadersdefaultstylewidthssortByColumn1sortEnabledfalsecellHighlightingtrueExampleComment12
  • ...
  • Overview

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue
    Page properties
    hiddentrue
    idComments

    Notes :

    Refer to http://trenz.org/am0010-info for the current online version of this manual and other available documentation.

    Key Features

    Page properties
    hiddentrue
    idComments

    Notes :

    • Add basic key futures, which can be tested with the design


    Excerpt
    • Vitis/Vivado 20202023.2
    • PetaLinux
    • SD (2.0)
    • ETH
    • USB (2.0)
    • I2C
    • eMMC
    • FMeterSpecial FSBL for QSPI programming

    Revision History

    Page properties
    hiddentrue
    idComments

    Notes :

    • add every update file on the download
    • add design changes on description
    Expand
    titleExpand List
    Scroll Title
    anchorTable_DRH
    title-alignmentcenter
    titleDesign Revision History

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateVivadoProject BuiltAuthorsDescription
    2021
    2024-
    11
    01-
    14
    25
    2020
    2023.2
    Mohsen Chamanbaz
    • initial release

    Release Notes and Know Issues

    Page properties
    hiddentrue
    idComments
    Notes :
    • add known Design issues and general notes for the current revision
    • do not delete known issue, add fixed version time stamp if issue fixed
    Scroll Title
    anchorTable_KI
    title-alignmentcenter
    titleKnown Issues

    AM0010-test_board-vivado_2023.2-build_4_20240124124216.zip
    AM0010-test_board_noprebuilt-vivado_2023.2-build_4_20240124124216.zip

    Manuela Strücker
    • Update Vivado 2023.2
    • new assembly variants
    2023-08-252022.2

    AM0010-test_board-vivado_2022.2-build_7_20230825125934.zip
    AM0010-test_board_noprebuilt-vivado_2022.2-build_7_20230825125934.zip

    Manuela Strücker
    • Update Vivado 2022.2
    • new assembly variants
    2021-11-192020.2

    AM0010-test_board-vivado_2020.2-build_9_20211119071538.zip
    AM0010-test_board_noprebuilt-vivado_2020.2-build_9_20211119072230.zip

    Mohsen Chamanbaz / John Hartfiel
    • initial release



    Release Notes and Know Issues

    Scroll Table LayoutorientationportraitsortDirectionASCrepeatTableHeadersdefaultstylewidthssortByColumn1sortEnabledfalsecellHighlightingtrueIssuesDescriptionWorkaroundTo be fixed versionNo known issues---------

    Requirements

    Software

    Page properties
    hiddentrue
    idComments
    Notes :
      • list of software which was used to generate the design
      • add known Design issues and general notes for the current revision
      • do not delete known issue, add fixed version time stamp if issue fixed
    Scroll Title
    Scroll Title
    anchorTable_SWKI
    title-alignmentcenter
    titleSoftwareKnown Issues

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Software
    Issues
    Version
    Description
    Note
    Vitis2020.2needed, Vivado is included into Vitis installation
    PetaLinux2020.2needed
    Hardware
    WorkaroundTo be fixed version
    USBUSB3 Stick does not work on USB2 Interface, only USB2 Stick------



    Requirements

    Software

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of hardware software which was used to generate the design
    • mark the module and carrier board, which was used tested with an *

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on "<project folder>\board_files\*_board_files.csv"

    Design supports following modules:


    Scroll Title
    anchorTable_SW
    Scroll Title
    anchorTable_HWM
    title-alignmentcenter
    titleHardware ModulesSoftware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefaultstyle
    widthssortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    SoftwareVersionNote
    Vitis2023.2needed, Vivado is included into Vitis installation
    PetaLinux2023.2needed


    Hardware

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of hardware which was used to generate the design
    • mark the module and carrier board, which was used tested with an *

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on "<project folder>\board_files\*_board_files.csv"

    Design supports following modules:

    4ev_1e
    Expand
    titleExpand List
    Scroll Title
    anchorTable_HWM
    title-alignmentcenter
    titleHardware Modules

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes
    AM0010-01-3BI21FA3eg_1i
    Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes

    AM0010-01-3BI21FA

    3eg_1i_4gb

    REV014GB128MB8GBNANA
    AM0010-01-3BI21MA*3eg_1i_4gbREV014GB128MB8GBNANA
    AM0010-01-4DE21MA4ev_1e_4gbREV014GB128MB8GBNANA
    AM0010-01-S001 
    _4gbREV014GB128MB8GBNANA
    AM0010-01-
    S002   
    3BI21MA*
    4ev
    3eg_
    1e
    1i_4gbREV014GB128MB8GBNANA

    *used as reference

    Design supports following carriers:

    Scroll Title
    anchorTable_HWC
    title-alignmentcenter
    titleHardware Carrier
    Scroll Table LayoutorientationportraitsortDirectionASCrepeatTableHeadersdefaultstylewidthssortByColumn1sortEnabledfalsecellHighlightingtrueCarrier ModelNotesAMB0010-01*

    *used as reference

    Additional HW Requirements:

    Scroll Title
    anchorTable_AHW
    title-alignmentcenter
    titleAdditional Hardware
    Scroll Table LayoutorientationportraitsortDirectionASCrepeatTableHeadersdefaultstylewidthssortByColumn1sortEnabledfalsecellHighlightingtrueAdditional HardwareNotesTE0790  (XMOD FTDI JTAG Adapter)
    AM0010-01-4DE21MA4ev_1e_4gbREV014GB128MB8GBNANA
    AM0010-01-S0014ev_1e_4gbREV014GB128MB8GBNACS
    AM0010-01-S0024ev_1e_4gbREV014GB128MB8GBNACS
    AM0010-01-S0034ev_1e_4gbREV014GB128MB8GBNACS reduced comp
    AM0010-02-3BE21MA3eg_1e_4gbREV024GB128MB8GBNANA
    AM0010-02-3BI21MA3eg_1i_4gbREV024GB128MB8GBNANA
    AM0010-02-4AE21MA4cg_1e_4gbREV024GB128MB8GBNANA
    AM0010-02-4DE21MA4ev_1e_4gbREV024GB128MB8GBNANA
    AM0010-02-5DE21MA5ev_1e_4gbREV024GB128MB8GBNANA
    AM0010-02-5DI21MA5ev_1i_4gbREV024GB128MB8GBNANA

    *used as reference


    Design supports following carriers:

    Heat sinkMini-USB cable12V Power supplySD card

    *used as reference

    Content

    Page properties
    hiddentrue
    idComments

    Notes :

    • content of the zip file

    For general structure and usage of the reference design, see Project Delivery - Xilinx devices

    Design Sources

    Location
    Scroll Title
    anchorTable_DSHWC
    title-alignmentcenter
    titleDesign sourcesHardware Carrier

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Type
    Carrier ModelNotes
    Vivado<project folder>\block_design
    <project folder>\constraints
    <project folder>\ip_lib
    <project folder>\board_filesVivado Project will be generated by TE ScriptsVitis<project folder>\sw_libAdditional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generationPetaLinux<project folder>\os\petalinuxPetaLinux template with current configuration

    Additional Sources

    AMB0010-01*

    *used as reference

    Additional HW Requirements:

    scroll-scroll-title
    anchorTable_ADSAHW
    title-alignmentcenter
    titleAdditional design sourcesHardware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationAdditional HardwareNotes
    init.sh<project folder>\misc\sd\Additional Initialization Script for Linux
    Prebuilt
    TE0790  (XMOD FTDI JTAG Adapter)
    Heat sink
    Mini-USB cable
    12V Power supply
    SD card

    *used as reference

    Content

    Page properties
    hiddentrue
    idComments

    Notes :

  • prebuilt files
  • Template Table:
    • content of the zip file

    For general structure and usage of the reference design, see Project Delivery - AMD devices

    Design Sources

    PFPrebuilt fileswidths

    Scroll Title
    anchorTable_
    DS
    title-alignmentcenter
    title
    Design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault

    style
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File
    Type
    File-Extension
    Location
    Description
    Notes
    Vivado<project folder>\block_design
    <project folder>\constraints
    <project folder>\ip_lib
    <project folder>\board_files
    Vivado Project will be generated by TE Scripts
    Vitis<project folder>\sw_libAdditional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation
    PetaLinux<project folder>\os\petalinuxPetaLinux template with current configuration


    Additional Sources

    Scroll Title
    anchorTable_ADS
    title-alignmentcenter
    titleAdditional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    init.sh<project folder>\misc\sd\Additional Initialization Script for Linux


    Prebuilt

    Converted Software Application for MicroBlaze Processor Systems

  • Page properties
    hiddentrue
    idComments

    Notes :

    • prebuilt files
    • Template Table:
      BIF-File*.bifFile with description to generate Bin-File
      BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
      BIT-File*.bitFPGA (PL Part) Configuration File
      Boot Source*.scr

      Distro Boot file

      DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

      Debian SD-Image

      *.img

      Debian Image for SD-Card

      Diverse Reports---Report files in different formats
      Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
      LabTools Project-File*.lprVivado Labtools Project File

      MCS-File

      *.mcs

      Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

      MMI-File

      *.mmi

      File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

      OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
      Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

      SREC-File

      *.srec

      Scroll Title
      anchorTable_PF
      title-alignmentcenter
      titlePrebuilt files
      (only on ZIP with prebuilt content)
        • Scroll Table Layout
          orientationportrait
          sortDirectionASC
          repeatTableHeadersdefault
          style
          widths
          sortByColumn1
          sortEnabledfalse
          cellHighlightingtrue

          File

          File-Extension

          Description

          BIF-File*.bifFile with description to generate Bin-File
          BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
          BIT-File*.bitFPGA (PL Part) Configuration File
          Boot
      Source
        • Script-File*.scr

          Distro Boot Script file

          DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface
      Diverse Reports-
        • Debian SD-Image

          *.img

          Debian Image for SD-Card

          Diverse Reports---Report files in different formats
          Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
          Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
          LabTools Project-File*.lprVivado Labtools Project File

          MCS-File

          *.mcs

          Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

          MMI-File

          *.mmi

          File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

          OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
          Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

      Download

      Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

      Page properties
      hiddentrue
      idComments

      Reference Design is available on:

      Design Flow

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue
        • SREC-File

          *.srec

          Converted Software Application for MicroBlaze Processor Systems




      Scroll Title
      anchorTable_PF
      title-alignmentcenter
      titlePrebuilt files (only on ZIP with prebuilt content)

      Scroll Table Layout
      orientationportrait
      sortDirectionASC
      repeatTableHeadersdefault
      style
      widths
      sortByColumn1
      sortEnabledfalse
      cellHighlightingtrue

      File

      File-Extension

      Description

      BIF-File*.bifFile with description to generate Bin-File
      BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
      BIT-File*.bitFPGA (PL Part) Configuration File
      Boot Script-File*.scr

      Distro Boot Script file

      DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface
      Diverse Reports---Report files in different formats
      Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
      Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
      LabTools Project-File*.lprVivado Labtools Project File
      OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
      Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems


      Download

      Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

      Page properties
      hiddentrue
      idComments

      Reference Design is available on:

      Design Flow

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue


      Page properties
      hiddentrue
      idComments
      Notes :
      • Basic Design Steps

      • Add/ Remove project specific description


      Note

      Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.

      Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

      See also:

      The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

      TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

      Note

      Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")

      1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

        Code Block
        languagebash
        themeMidnight
        title_create_win_setup.cmd/_create_linux_setup.sh
      Page properties
      hiddentrue
      idComments
      Notes :
      • Basic Design Steps

      • Add/ Remove project specific description

      Note

      Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.

      Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

      See also:

      The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

      TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

      Note

      Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")

      1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

        Code Block
        languagebash
        themeMidnight
        title_create_win_setup.cmd/_create_linux_setup.sh
        ------------------------Set design paths----------------------------
        -- Run Design with: _create_win_setup
        -- Use Design Path: <absolute project path>
        --------------------------------------------------------------------
        -------------------------TE Reference Design---------------------------
        -----------------------------------------------Set design paths---------------------
        -- (0)  Module selection guide, project creation...prebuilt export...-------
        -- (1)Run Design Create minimum setup of CMD-Files and exit Batchwith: _create_win_setup
        -- (2)Use Design CreatePath: maximum<absolute setup of CMD-Files and exit Batch
        -- (3)  (internal only) Dev
        -- (4)  (internal only) Prod
        -- (c)  Go to CMD-File Generation (Manual setup)
        -- (d)  Go to Documentation (Web Documentation)
        -- (g)  Install Board Files from Xilinx Board Store (beta)
        -- (a)  Start design with unsupported Vivado Version (beta)
        -- (x)  Exit Batch (nothing is done!)
        ----
        Select (ex.:'0' for module selection guide):
      2. Press 0 and enter to start "Module Selection Guide"
      3. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
      4. project path>
        --------------------------------------------------------------------
        -------------------------TE Reference Design---------------------------
        --------------------------------------------------------------------
        -- (0)  Module selection guide, project creation...prebuilt export...
        -- (1)  Create minimum setup of CMD-Files and exit Batch
        -- (2)  Create maximum setup of CMD-Files and exit Batch
        -- (3)  (internal only) Dev
        -- (4)  (internal only) Prod
        -- (c)  Go to CMD-File Generation (Manual setup)
        -- (d)  Go to Documentation (Web Documentation)
        -- (g)  Install Board Files from Xilinx Board Store (beta)
        -- (a)  Start design with unsupported Vivado Version (beta)
        -- (x)  Exit Batch (nothing is done!)
        ----
        Select (ex.:'0' for module selection guide):


      5. Press 0 and enter to start "Module Selection Guide"
      6. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
        • optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

          Note

          Note: Select correct one, see also Vivado Board Part Flow


      7. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

      8. optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

        Note

        Note: Select correct one, see also Vivado Board Part Flow

        Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

        Code Block
        languagepy
        themeMidnight
        titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
        TE::hw_build_design -export_prebuilt
        Info

        Using Vivado GUI is the same, except file export to prebuilt folder.

      9. Create and configure your PetaLinux project with exported .xsa-file, see PetaLinux KICKstart
        • use TE Template from "<project folder>\os\petalinux"
        • use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . Note: HW Export from Vivado GUI creates another path as default workspace.

        • The build images are located in the "<plnx-proj-root>/images/linux" directory

      10. Configure the boot.scr file as needed, see Distro Boot with Boot.scr

      11. Copy PetaLinux build image files to prebuilt folder

        copy u-boot.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        Info

        "<project folder>\prebuilt\os\petalinux\<ddr size>" or "<project folder>\prebuilt\os\petalinux\<short name>"

        Page properties
        hiddentrue
        idComments

        This step depends on Xilinx Device/Hardware

        for Zynq-7000 series

        • copy u-boot.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for ZynqMP

        • copy u-boot.elf, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for ...

        • ...

        Generate Programming Files with Vitis

        Code Block
        languagepy
        themeMidnight
        titlerun on Vivado TCL (Script generates applications design and bootable files, which are defined in "test_board\sw_lib\apps_list.csvexport files into "<project folder>\prebuilt\hardware\<short name>")
        TE::swhw_runbuild_vitisdesign -all
        TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)
        Note

        TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis

      Launch

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue
      1. export_prebuilt


        Info

        Using Vivado GUI is the same, except file export to prebuilt folder.


      2. Create and configure your PetaLinux project with exported .xsa-file, see PetaLinux KICKstart
        • use TE Template from "<project folder>\os\petalinux"
        • use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . Note: HW Export from Vivado GUI creates another path as default workspace.

        • The build images are located in the "<plnx-proj-root>/images/linux" directory

      3. Configure the boot.scr file as needed, see Distro Boot with Boot.scr

      4. Generate Programming Files with Vitis (recommended)
        1. Copy PetaLinux build image files to prebuilt folder
          • copy u-boot.elf, system.dtb, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

            Info

            "<project folder>\prebuilt\os\petalinux\<ddr size>" or "<project folder>\prebuilt\os\petalinux\<short name>"


            Page properties
            hiddentrue
            idComments

      Note:

      • Programming and Startup procedure

      Programming

      Note

      Check Module and Carrier TRMs for proper HW configuration before you try any design.

      Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

      Xilinx documentation for programming and debugging: Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging

      Get prebuilt boot binaries

    • Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
          • This step depends on Xilinx Device/Hardware

            for Zynq-7000 series

            • copy u-boot.elf, system.dtb, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

            for ZynqMP

            • copy u-boot.elf, system.dtb, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

            for Microblaze

            • ...


        1. Generate Programming Files with Vitis
          Code Block
          languagepy
          themeMidnight
          titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
          TE::sw_run_vitis -all
          TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


          Note

          TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis


      1. Generate Programming Files with Petalinux (alternative), see PetaLinux KICKstart

      Launch

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue


      Page properties
      hiddentrue
      idComments

      Note:

      • Programming and Startup procedure

      Programming

      Note

      Check Module and Carrier TRMs for proper HW configuration before you try any design.

      Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

      Xilinx documentation for programming and debugging: Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging

      Get prebuilt boot binaries

      1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
      2. Press 0 and enter to start "Module Selection Guide"
        1. Select assembly version
        2. Validate selection
        3. Select create and open delivery binary folder

          Info

          Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated


      QSPI-Boot mode

      Option for Boot.bin on QSPI Flash and image.ub and boot.scr on SD or USB.

      1. Connect JTAG and power on carrier with module
      2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"

        Code Block
        languagepy
        themeMidnight
        titlerun on Vivado TCL (Script programs BOOT.bin on QSPI flash)
        TE::pr_program_flash -swapp u-boot
        TE::pr_program_flash -swapp hello_am0010 (optional)


        Note

        To program with Vitis/Vivado GUI, use special FSBL (fsbl_flash) on setup


      3. Copy image.ub and boot.scr on SD or USB
        • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder,see: Get prebuilt boot binaries
        • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
      4. Set Boot Mode to QSPI-Boot and insert SD or USB.
        • Depends on Carrier, see carrier TRM.

      SD-Boot mode

      1. Copy image.ub, boot.src and Boot.bin on SD
        • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder, see: Get prebuilt boot binaries
        • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
      2. Set Boot Mode to SD-Boot.
        • Depends on Carrier, see carrier TRM.
      3. Insert SD-Card in SD-Slot.

      JTAG

      Not used on this example.


      Usage

      1. Prepare HW like described on section Programming
      2. Connect UART USB (most cases same as JTAG)
      3. Select SD Card as Boot Mode (or QSPI - depending on step 1)

        Info

        Note: See TRM of the Carrier, which is used.


        Tip

        Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.
        The boot options described above describe the common boot processes for this hardware; other boot options are possible.
        For more information see Distro Boot with Boot.scr


      4. Power On PCB

        Expand
        titleboot process

        1. ZynqMP Boot ROM loads FSBL from SD/QSPI into OCM,

        2. FSBL init the PS, programs the PL using the bitstream and loads

      Press 0 and enter to start "Module Selection Guide"
    • Select assembly version
    • Validate selection
    • Select create and open delivery binary folder

      Info

      Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated

      QSPI-Boot mode

      Option for Boot.bin on QSPI Flash and image.ub and boot.scr on SD or USB.

    • Connect JTAG and power on carrier with module
    • Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script programs BOOT.bin on QSPI flash)
      TE::pr_program_flash -swapp u-boot
      TE::pr_program_flash -swapp hello_am0010 (optional)
      Note

      To program with Vitis/Vivado GUI, use special FSBL (fsbl_flash) on setup

    • Copy image.ub and boot.scr on SD or USB
      • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder,see: Get prebuilt boot binaries
      • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
    • Set Boot Mode to QSPI-Boot and insert SD or USB.
      • Depends on Carrier, see carrier TRM.
    • SD-Boot mode

      1. Copy image.ub, boot.src and Boot.bin on SD
        • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder, see: Get prebuilt boot binaries
        • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
      2. Set Boot Mode to SD-Boot.
        • Depends on Carrier, see carrier TRM.
      3. Insert SD-Card in SD-Slot.

      JTAG

      Not used on this example.

      Usage

      1. Prepare HW like described on section Programming
      2. Connect UART USB (most cases same as JTAG)
      3. Select SD Card as Boot Mode (or QSPI - depending on step 1)

        Info

        Note: See TRM of the Carrier, which is used.

        Tip

        Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.
        The boot options described above describe the common boot processes for this hardware; other boot options are possible.
        For more information see Distro Boot with Boot.scr

        Power On PCB

        Expand
        titleboot process

        1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

        2. FSBL init PS, programs PL using the bitstream and loads U-boot from SD into DDR,

        3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR

        Page properties
        hiddentrue
        idComments

        This step depends on Xilinx Device/Hardware

        for Zynq-7000 series

        1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

        2. FSBL init the PS, programs the PL using the bitstream and loads U-boot from SD/QSPI into DDR,

        3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR

        for ZynqMP???

        1. ZynqMP Boot ROM loads FSBL from SD/QSPI into OCM,

        2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,

        3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


        Page properties
        hiddentrue
        idComments

        This step depends on Xilinx Device/Hardware

        for Zynq-7000 series

        1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

        2. FSBL init the PS, programs the PL using the bitstream and loads U-boot from SD/QSPI into DDR,

        3

        for Microblaze

        1. FPGA Loads Bitfile from Flash,

        2. MCS Firmware configure SI5338 and starts Microblaze,

        3. SREC Bootloader from Bitfile Firmware loads U-Boot into DDR (This takes a while),

        4. U-boot loads Linux (image.ub) from QSPI Flash SD/QSPI/... into DDR


        for native FPGA

        ...

      Linux

      1. ZynqMP???

        1. ZynqMP Boot ROM loads FSBL from SD/QSPI into OCM,

        2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,

        3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


        for Microblaze

        1. FPGA Loads Bitfile from Flash,

        2. MCS Firmware configure SI5338 and starts Microblaze,

        3. SREC Bootloader from Bitfile Firmware loads U-Boot into DDR (This takes a while),

        4. U-boot loads Linux from QSPI Flash into DDR


        for native FPGA

        ...



      Linux

      1. Open Serial Console (e.g. putty)
        • Speed: 115200
        • select COM Port

          Info

          Win OS, see device manager, Linux OS see dmesg |grep tty (UART is *USB1)


      2. Linux Console:

        Code Block
        languagebash
        themeMidnight
        # password disabled
        petalinux login: 
        Open Serial Console (e.g. putty)
      3. Speed: 115200
      4. select COM Port

        Info

        Win OS, see device manager, Linux OS see dmesg |grep tty (UART is *USB1)

        Linux Console:

        Code Block
        languagebash
        themeMidnight
        petalinux login: root
        Password: root


        Info

        Note: Wait until Linux boot finished


      5. You can use Linux shell now.

        Code Block
        languagebash
        themeMidnight
        i2cdetect -y -r 0	(check I2C 0 Bus)
        i2cdetect -y -r 1   (check I2C 1 Bus)
        udhcpc				(ETH0 check)
        lsusb				(USB check)


      6. Option Features

        • Webserver to get access to Zynq
          • insert IP on web browser to start web interface
        • init.sh scripts
          • add init.sh script on SD, content will be load automatically on startup (template included in "<project folder>\misc\SD")

      Vivado HW Manager

      Page properties
      hiddentrue
      idComments

      Note:

      • Add picture of HW Manager

      • add notes for the signal either groups or topics, for example:

        Control:

        • add controllable IOs with short notes..

        Monitoring:

        • add short notes for signals which will be monitored only
        • SI5338 CLKs:
          • Set radix from VIO signals to unsigned integer.
            Note: Frequency Counter is inaccurate and displayed unit is Hz
          • expected CLK Frequency...

      Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder)

      • Control: Dip switches and LEDs
      • Monitoring: Output clock of SI SI53340 clock buffer with 2:1 input mux
      Scroll Title
      anchorFigure_VHM
      title-alignmentcenter
      titleVivado Hardware Manager
      image2021-11-8_16-14-16.pngImage RemovedImage AddedImage Added

      System Design - Vivado

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue


      Page properties
      hiddentrue
      idComments

      Note:

      • Description of Block Design, Constrains... BD Pictures from Export...

      Block Design

      Scroll Title
      anchorFigure_BD
      title-alignmentcenter
      titleBlock Design


      Image RemovedImage Added


      PS Interfaces

      Page properties
      hiddentrue
      idComments

      Note:

      • optional for Zynq / ZynqMP only

      • add basic PS configuration

      Activated interfaces:

      Scroll Title
      anchorTable_PSI
      title-alignmentcenter
      titlePS Interfaces

      Scroll Table Layout
      orientationportrait
      sortDirectionASC
      repeatTableHeadersdefault
      style
      widths
      sortByColumn1
      sortEnabledfalse
      cellHighlightingtrue

      TypeNote
      DDR
      QSPIMIO
      SD0 (eMMC)MIO
      SD1 (as SD2.0)MIO
      I2C0MIO
      I2C1MIO
      UART0MIO
      UART1MIO
      GPIO0..2MIO
      GPIO1MIO
      GPIO2MIO
      SWDT0..1
      TTC0..3
      GEM3MIO
      USB0 (as USB2.0)MIO


      Constrains

      Basic module constrains

      Code Block
      languageruby
      title_i_bitgen_common.xdc
      set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
      set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]

      Design specific constrain

      Code Block
      languageruby
      title_i_io.xdc
      #############################################
      #CLOCKs
      #############################################
      #   Y6       B224_CLK0_P
      #   Y5       B224_CLK0_N                                                  
      #   V6       B224_CLK1_P
      #   V5       B224_CLK1_N                                                    
      #set_property -dict { IOSTANDARD LVDS_25 PACKAGE_PIN Y6 } [get_ports {CLK_IN_D_224_clk_p[0]}]
      #set_property -dict { IOSTANDARD LVDS_25 PACKAGE_PIN V6 } [get_ports {CLK_IN_D_224_clk_p[1]}]
      #   AA13     B24_L7_P
      #   AB13     B24_L7_N
      #   AC14     B24_L6_P
      #   AC13     B24_L6_N
      set_property -dict { IOSTANDARD LVDS_25 PACKAGE_PIN AA13 } [get_ports {CLK_IN_D_24_clk_p[0]}]
      set_property -dict { IOSTANDARD LVDS_25 PACKAGE_PIN AC14 } [get_ports {CLK_IN_D_24_clk_p[1]}]
      
      ##############################################
      #LED and DIP Switch 
      ##############################################
      #   D15      USER_LED[0]
      #   D14      USER_LED[1]
      #   G15      USER_LED[2]
      #   G14      USER_LED[3]
      set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN D15 } [get_ports {LED[0]}]
      set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN D14 } [get_ports {LED[1]}]
      set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN G15 } [get_ports {LED[2]}]
      set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN G14 } [get_ports {LED[3]}]
      #   F13      USER_SW[0]
      #   G13      USER_SW[1]
      #   E15      USER_SW[2]
      #   F15      USER_SW[3]
      set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN F13 } [get_ports {SW[0]}]
      set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN G13 } [get_ports {SW[1]}]
      set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN E15 } [get_ports {SW[2]}]
      set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN F15 } [get_ports {SW[3]}]
      ##############################################
      #HYPERRAM
      ##############################################
      # #CK
      # set_property PACKAGE_PIN AG10 [get_ports CLK_P]
      # #CKN/RFU
      # set_property PACKAGE_PIN AH10 [get_ports CLK_N]
      # #DQ0..7
      # set_property PACKAGE_PIN AB9  [get_ports {D[0]}]
      # set_property PACKAGE_PIN AC11 [get_ports {D[1]}]
      # set_property PACKAGE_PIN Y10  [get_ports {D[2]}]
      # set_property PACKAGE_PIN AA8  [get_ports {D[3]}]
      # set_property PACKAGE_PIN Y9   [get_ports {D[4]}]
      # set_property PACKAGE_PIN AD11 [get_ports {D[5]}]
      # set_property PACKAGE_PIN AB10 [get_ports {D[6]}]
      # set_property PACKAGE_PIN AF10 [get_ports {D[7]}]
      # #RWDS/RDS
      # set_property PACKAGE_PIN AA10 [get_ports RWDS]
      # #CSN
      # set_property PACKAGE_PIN AD10 [get_ports CS0_N ]
      # #RFU
      # set_property PACKAGE_PIN AE10 [get_ports CS1_N]
      # #RESETN
      # set_property PACKAGE_PIN AB11 [get_ports RESET_N]
      # #INT
      # set_property PACKAGE_PIN AA11 [get_ports INT_N ]

      Software Design - Vitis

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue
       #CSN
      # set_property PACKAGE_PIN AD10 [get_ports CS0_N ]
      # #RFU
      # set_property PACKAGE_PIN AE10 [get_ports CS1_N]
      # #RESETN
      # set_property PACKAGE_PIN AB11 [get_ports RESET_N]
      # #INT
      # set_property PACKAGE_PIN AA11 [get_ports INT_N ]

      Software Design - Vitis

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue


      Page properties
      hiddentrue
      idComments
      Note:
      • optional chapter separate

      • sections for different apps

      For Vitis project creation, follow instructions from:

      Vitis

      Application

      Page properties
      hiddentrue
      idComments

      ----------------------------------------------------------

      FPGA Example

      Page properties
      hiddentrue
      idComments
      Note:
      • optional chapter separate

      • sections for different apps

      For Vitis project creation, follow instructions from:

      Vitis

      Application

      Page properties
      hiddentrue
      idComments

      ----------------------------------------------------------

      FPGA Example

      scu

      MCS Firmware to configure SI5338 and Reset System.

      srec_spi_bootloader

      TE modified 2020.2 SREC

      Bootloader to load app or second bootloader from flash into DDR

      Descriptions:

      • Modified Files: blconfig.h, bootloader.c
      • Changes:
        • Add some console outputs and changed bootloader read address.
        • Add bugfix for 2018.2 qspi flash

      xilisf_v5_11

      TE modified 2020.2 xilisf_v5_11

      • Changed default Flash type to 5.

      ----------------------------------------------------------

      Zynq Example:

      fsbl

      TE modified 2020.2 FSBL

      General:

      • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
      • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

      • General Changes: 
        • Display FSBL Banner and Device ID

      Module Specific:

      • Add Files: all TE Files start with te_*
        • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot platform-top.h)
        • CPLD access
        • Read CPLD Firmware and SoC Type
        • Configure Marvell PHY

      fsbl_flash

      TE modified 2020.2 FSBL

      General:

      • Modified Files: main.c
      • General Changes:
        • Display FSBL Banner
        • Set FSBL Boot Mode to JTAG
        • Disable Memory initialisation

      ZynqMP Example:

      ----------------------------------------------------------

      zynqmp_fsbl

      TE modified 2020.2 FSBL

      General:

      • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
      • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
      • General Changes: 
        • Display FSBL Banner and Device Name

      Module Specific:

      • Add Files: all TE Files start with te_*
        • Si5338 Configuration
        • ETH+OTG Reset over MIO

      zynqmp_fsbl_flash

      TE modified 2020.2 FSBL

      General:

      • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
      • General Changes:
        • Display FSBL Banner
        • Set FSBL Boot Mode to JTAG
        • Disable Memory initialisation

      zynqmp_pmufw

      Xilinx default PMU firmware

      -

      scu

      MCS Firmware to configure SI5338 and Reset System.

      srec_spi_bootloader

      TE modified 2023.2 SREC

      Bootloader to load app or second bootloader from flash into DDR

      Descriptions:

      • Modified Files: blconfig.h, bootloader.c
      • Changes:
        • Add some console outputs and changed bootloader read address.
        • Add bugfix for 2018.2 qspi flash

      xilisf_v5_11

      TE modified 2023.2 xilisf_v5_11

      • Changed default Flash type to 5.


      ----------------------------------------------------------

      General Example:

      hello_te0820

      Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

      u-boot

      U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

      Template location: "<project folder>\sw_lib\sw_apps\"

      zynqmp_fsbl

      TE modified 2020

      Zynq Example:

      ----------------------------------------------------------

      fsbl

      TE modified 2023.2 FSBL

      General:

      • Modified Files:
      xfsbl_
      • main.c,
      xfsbl
      • fsbl_hooks
      .h/
      • .
      c, xfsbl_board.
      • h/.c (search for 'TE Mod' on source code)
      • Add Files: te_

      xfsbl
      • fsbl_hooks.h/.c (for hooks and board)

      • General Changes: 
        • Display FSBL Banner and Device
      Name

      zynqmp_fsbl_flash

      TE modified 2020.2 FSBL

      General:

      • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
      • General Changes:
        • Display FSBL Banner
        • Set FSBL Boot Mode to JTAG
        • Disable Memory initialisation

      zynqmp_pmufw

      Xilinx default PMU firmware.

        • ID

      Module Specific:

      • Add Files: all TE Files start with te_*
      • ETH+OTG Reset over MIO
      • USB Reset over MIO
      • eMMC Reset over MIO
        • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot platform-top.h)
        • CPLD access
        • Read CPLD Firmware and SoC Type
        • Configure Marvell PHY


      ----------------------------------

      ------------------------

      General Example:

      hello_am0010

      Hello AM0010 is a Xilinx Hello World example as endless loop instead of one console output.

      u-boot

      U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

      Software Design -  PetaLinux

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue

      ------------------------

      ZynqMP Example:

      ----------------------------------------------------------

      zynqmp_fsbl

      TE modified 2023.2 FSBL

      General:

      • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
      • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
      • General Changes: 
        • Display FSBL Banner and Device Name

      Module Specific:

      • Add Files: all TE Files start with te_*
        • Si5338 Configuration
        • ETH+OTG Reset over MIO


      zynqmp_pmufw

      Xilinx default PMU firmware.

      ------------------

      Page properties
      hiddentrue
      idComments
      Note:
      • optional chapter separate

      • sections for linux

      • Add "No changes." or "Activate: and add List"

      For PetaLinux installation and project creation, follow instructions from:

      Config

      Start with petalinux-config or petalinux-config --get-hw-description

      Changes:

      • CONFIG_SUBSYSTEM_PRIMARY_SD_PSU_SD_1_SELECT=y
      • CONFIG_SUBSYSTEM_ETHERNET_PSU_ETHERNET_3_MAC=""

      U-Boot

      Start with petalinux-config -c u-boot

      Changes:

      • CONFIG_I2C_EEPROM=y
      • CONFIG_ZYNQ_GEM_I2C_MAC_OFFSET=0xFA
      • CONFIG_SYS_I2C_EEPROM_ADDR=0x53
      • CONFIG_SYS_I2C_EEPROM_BUS=0
      • CONFIG_SYS_EEPROM_SIZE=256
      • CONFIG_SYS_EEPROM_PAGE_WRITE_BITS=0
      • CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS=0
      • CONFIG_SYS_I2C_EEPROM_ADDR_LEN=1
      • CONFIG_SYS_I2C_EEPROM_ADDR_OVERFLOW=0
      • CONFIG_SD_BOOT=y

      Change platform-top.h:

      Code Block
      languagejs

      Device Tree

      Code Block
      languagejs
      /include/ "system-conf.dtsi" / { chosen { xlnx,eeprom = &eeprom; }; }; /*

      ------------------

      QSPI

      --------------------

      */ &qspi { #address

      -

      cells = <1>; #size-cells = <0>; status = "okay"; flash0: flash@0 { //compatible = "flash name, "micron,m25p80"; compatible = "jedec,spi-nor"; reg = <0x0>; #address-cells = <1>; #size-cells = <1>; }; }; /*

      -

      General Example:

      --------------------------

      I2C

      ---------------------

      */ &i2c0 { //optiga: optiga@30 { // #address-cells = <1>; // #size-cells = <0>; // compatible = "atmel,24c08"; // reg = <0x30>; //}; eeprom: eeprom@53 { #address-cells = <1>; #size-cells = <0>; compatible = "atmel,24c08"; reg = <0x53>; }; //crypto: crypto@60 { // #address-cells = <1>; // #size-cells = <0>; // compatible = "atmel,24c08"; // reg = <0x60>; //}; }; &i2c1 { extern: extern@20 { compatible = "atmel,24c08"; reg = <0x20>; }; }; /*

      -----------

      hello_te0820

      Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

      u-boot

      U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

      Template location: "<project folder>\sw_lib\sw_apps\"

      zynqmp_fsbl

      TE modified 2023.2 FSBL

      General:

      • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
      • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
      • General Changes: 
        • Display FSBL Banner and Device Name

      Module Specific:

      • Add Files: all TE Files start with te_*
        • ETH+OTG Reset over MIO
        • USB Reset over MIO
        • eMMC Reset over MIO

      zynqmp_pmufw

      Xilinx default PMU firmware.

      ------------------

      GEM3

      ----

      --------------- */ &gem3 { status = "okay"; phy-mode = "rgmii-id"; phy-handle = <&ethernet_phy0>; ethernet_phy0: ethernet-phy@0x3 { compatible = "marvell,88e1510"; reg = <0x3>; #address-cells = <0x1>; #size-cells = <0x1>; }; }; /*

      ------------------------------------

      General Example:

      hello_am0010

      Hello AM0010 is a Xilinx Hello World example as endless loop instead of one console output.

      u-boot

      U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

      Software Design -  PetaLinux

      scroll-ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue


      Page properties
      hiddentrue
      idComments
      Note:
      • optional chapter separate

      • sections for linux

      • Add "No changes." or "Activate: and add List"

      For PetaLinux installation and project creation, follow instructions from:

      Config

      Start with petalinux-config or petalinux-config --get-hw-description

      Changes:

      • select SD default instead of eMMC:
        • CONFIG_SUBSYSTEM_PRIMARY_SD_PSU_SD_1_SELECT=y
      • add new flash partition for bootscr and sizing
        • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART0_SIZE=0xA00000
        • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART1_SIZE=0x2000000
        • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART2_SIZE=0x40000
        • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_NAME="bootscr"
        • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_SIZE=0x80000
      • Identification
        • CONFIG_SUBSYSTEM_HOSTNAME="Trenz"
        • CONFIG_SUBSYSTEM_PRODUCT="AM0010"

      U-Boot

      Start with petalinux-config -c u-boot

      Changes:

      • MAC from eeprom together with uboot and device tree settings:
        • CONFIG_ENV_OVERWRITE=y
        • CONFIG_NET_RANDOM_ETHADDR is not set
      • Boot Modes:
        • CONFIG_QSPI_BOOT=y
        • CONFIG_SD_BOOT=y
        • CONFIG_ENV_IS_IN_FAT is not set
        • CONFIG_ENV_IS_IN_NAND is not set
        • CONFIG_ENV_IS_IN_SPI_FLASH is not set
        • CONFIG_SYS_REDUNDAND_ENVIRONMENT is not set
        • CONFIG_BOOT_SCRIPT_OFFSET=0x2A40000
      • Identification
        • CONFIG_IDENT_STRING=" AM0010"

      Change platform-top.h:

      Code Block
      languagejs

      Device Tree

      Code Block
      languagejs
      /include/ "system-conf.dtsi"
      
      
      /*- USB0 ---------------------*/
      /*
      &dwc3_0 {
          status = "okay";
          dr_mode = "host";
          maximum-speed = "high-speed";
          /delete-property/phy-names;
          /delete-property/phys;
          /delete-property/snps,usb3_lpm_capable;
           snps,dis_u2_susphy_quirk;
          snps,dis_u3_susphy_quirk;
      };
      */
      
      
      /* USB  */
      &dwc3_0 {
          status = "okay";
          dr_mode = "host";
          maximum-speed = "high-speed";
          /delete-property/phy-names;
          /delete-property/phys;
          /delete-property/snps,usb3_lpm_capable;
           snps,dis_u2_susphy_quirk;
          snps,dis_u3_susphy_quirk;
      };
           
      &usb0 {
          status = "okay";
          /delete-property/ clocks;
          /delete-property/ clock-names;
          clocks = <0x3 0x20>;
          clock-names = "bus_clk";
      };
        
      
      /*------------------- SD1SD --------------------*/
      // eMMC
      // &sdhci1sdhci0 {
      //    pinctrl-names = "default"// disable-wp;
      //    pinctrl-0 = <&pinctrl_sdhci1_default> no-1-8-v;
      // };
      
      // SD card
      &sdhci1 {
          disable-wp;
          disable-wp;
          no-1-8-v;
      };
       
      &pinctrl0no-1-8-v;
      };
       
      
      /*-------------------- USB 2.0 only ----------------*/
      &dwc3_0 {
          status = "okay";
          pinctrl_sdhci1_default: sdhci1-default {
              mux {
                  groups = "sdio1_0_grpdr_mode = "host";
                  functionmaximum-speed = "sdio1";
              }high-speed";
       
         /delete-property/phy-names;
           conf {/delete-property/phys;
          /delete-property/snps,usb3_lpm_capable;
          snps,dis_u3_susphy_quirk;
          groups = "sdio1_0_grp"snps,dis_u2_susphy_quirk;
      };
           
      &usb0 {
           status slew-rate = <1>"okay";
          /delete-property/ clocks;
             io-standard = <1>/delete-property/ clock-names;
          clocks =       bias-disable<0x3 0x20>;
          clock-names    = "bus_clk";
      };
      
      
      /*------------------ ETH   /* 
        PHY --------------------*/
       &gem3 {
          /delete-property/ local-mac-address;
           mux-cd {phy-handle = <&phy0>;
          
           nvmem-cells = <ð0_addr>;
          nvmem-cell-names groups = "sdio1_cd_0_grpmac-address";
          
          phy0: phy@0x3 {
              functiondevice_type = "sdio1_cdethernet-phy";
              reg =   }<0x3>;
           
                  conf-cd};
      };
      
      
      /*------------------ QSPI -------------------- */
      &qspi {
          #address-cells = <1>;
          #size-cells = <0>;
          groupsstatus = "sdio1_cd_0_grpokay";
          flash0: flash@0 {
              compatible = bias-high-impedance"jedec,spi-nor";
              reg = <0x0>;
            bias-pull-up;
        #address-cells = <1>;
                  slew-rate#size-cells = <1>;
              
              io-standardspi-rx-bus-width = <1><4>;
              spi-tx-bus-width =   }<4>;
           
         spi-max-frequency = <90000000>;
          };
      };
      
      
      /*------------------ I2C  mux-wp--------------------- */
      &i2c0 {
      
          // needs a special wakeup sequence, i2c-detect and similar will not work
         groups = "sdio1_wp_0_grp";// https://github.com/Infineon/optiga-trust-m/
          // optiga: optiga@30 {
          //     functioncompatible = "sdio1_wp";
          //     reg = <0x30>;
          // };
      
          eeprom: eeprom@53 {
              compatible = "microchip,24aa025",  conf-wp {
      "atmel,24c02";
              reg = <0x53>;
                groups = "sdio1_wp_0_grp";
              #address-cells = <1>;
            bias-high-impedance  #size-cells = <1>;
              eth0_addr:     eth-mac-addr@FA {
         bias-pull-up;
             reg = <0xFA 0x06>;
            slew-rate = <1>};
          };
      
          // needs a special wakeup sequence, i2c-detect and similar io-standardwill =not <1>;work
          // crypto: crypto@60 {
          // };
          */compatible 
        = "atmel,atecc508a", "atmel,atecc608a";
          //   
          }; 
      };
      
      /*-------------------- SD0 eMMC ----------------*/
      &sdhci0 {
          // disable-wp;
          no-1-8-v;
      };
      
      
      
       

      FSBL patch

      Must be add manually, see template
      reg = <0x60>;
          // };
      };
      
      //&i2c1 {
      //  extern: extern@<> {
      //     compatible = "";
      //     reg = <>;
      //  };
      //};
      
      


      Kernel

      Start with petalinux-config -c kernel

      Changes:

      • Only needed to fix JTAG Debug issue:# CONFIG_CPU_IDLE is not set         (Bugfix for UART and JTAG problem)
        • # CONFIG_CPU_FREQ is not set
               (Bugfix for UART and JTAG problem)CONFIG_EDAC_CORTEX_ARM64=y  

      Rootfs

      Start with petalinux-config -c rootfs

      Changes:

      • For web server app:
        • CONFIG_busybox-httpd=y
      • For additional test tools only:
        • CONFIG_i2c-tools=y
        • CONFIG_packagegroup-petalinux-utils=y    (util-linux,cpufrequtils,bridge-utils,mtd-utils,usbutils,pciutils,canutils,i2c-tools,smartmontools,e2fsprogs)
      • For auto login:
        • CONFIG_
        i2c-tools=y               (For i2c debugging)CONFIG_busybox-httpd=y     (for web server app)
        • imagefeature-serial-autologin-root=y

      FSBL patch (alternative for vitis fsbl trenz patch)

      See "<project folder>\os\petalinux\project-spec\meta-user\recipes-bsp\embeddedsw"

      Applications

      See "<project folder>\os\petalinux\project-spec\meta-user\recipes-apps\"

      startup

      Script App to load init.sh from SD Card if available.

      webfwu

      Webserver application suitable for Zynq ZynqMP access. Need busybox-httpd

      Additional Software

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue


      Page properties
      hiddentrue
      idComments
      Note:
      • Add description for other Software, for example SI CLK Builder ...
      • SI5338 and SI5345 also Link to:

      No additional software is needed.

      App. A: Change History and Legal Notices

      Scroll Ignore
      scroll-pdftrue
      scroll-officetrue
      scroll-chmtrue
      scroll-docbooktrue
      scroll-eclipsehelptrue
      scroll-epubtrue
      scroll-htmltrue

      Document Change History

      To get content of older revision go to "Change History" of this page and select older document revision number.

      Page properties
      hiddentrue
      idComments
      • Note this list must be only updated, if the document is online on public doc!
      • It's semi automatically, so do following
        • Add new row below first

        • Copy "Page Information Macro (date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

        • Metadata is only used of compatibility of older exports


      Scroll Title
      anchorTable_dch
      title-alignmentcenter
      titleDocument change history.

      Scroll Table Layout
      orientationportrait
      sortDirectionASC
      repeatTableHeadersdefault
      style
      widths2*,*,3*,4*
      sortByColumn1
      sortEnabledfalse
      cellHighlightingtrue

      DateDocument Revision

      Authors

      Description

      Page info
      modified-date
      modified-date
      dateFormatyyyy-MM-dd

      Page info
      infoTypeCurrent version
      dateFormatyyyy-MM-dd
      prefixv.
      typeFlat

      Page info
      infoTypeModified by
      typeFlat

      • Update Vivado 2023.2
      • new assembly variants
      2023-08-25v.11

      Manuela Strücker

      • Update Vivado 2022.2
      • new assembly variants
      2021-11-19v.10

      John Hartfiel

      • initial release
      --all

      Page info
      infoTypeModified users
      dateFormatyyyy-MM-dd
      typeFlat

      --


      Legal Notices

      Include Page
      IN:Legal Notices
      IN:Legal Notices



      Scroll Only


      HTML
      <style>
      .wiki-content .columnLayout .cell.aside {
      width: 0%;
      }</style>
      



      Scroll pdf ignore


      Custom_fix_page_content

      Table of contents

      Table of Contents
      outlinetrue