Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.
 



Custom_table_size_100


Overview

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue


Page properties
hiddentrue
idComments

Notes :

Refer to http://trenz.org/teg2000-info for the current online version of this manual and other available documentation.

This page describes briefly how to generate the fpga configuration file (Bitstream/cfg file) from one of the provided test projects the blink-example and how to program the FPGA. For a more detailed description of the tools follow the Quick start section of colognechip ug1002

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key features, which can be tested with the design


Excerpt
  • USB(JTAG/UART)
  • LED

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download
  • add design changes on description
Scroll Title
anchorTable_DRH
title-alignmentcenter
titleDesign Revision History

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

DateVivadoProject BuiltAuthorsDescription



Waldemar Hanemann
  • initial release



Release Notes and Know Issues

Page properties
hiddentrue
idComments
Notes :
  • add known Design issues and general notes for the current revision
  • do not delete known issue, add fixed version time stamp if issue fixed


Scroll Title
anchorTable_KI
title-alignmentcenter
titleKnown Issues

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

IssuesDescriptionWorkaroundTo be fixed version
No known issues---------


Requirements

Software

Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design


Scroll Title
anchorTable_SW
title-alignmentcenter
titleSoftware

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

SoftwareVersionNote
Yosys0.37+39needed for RTL synthesis
GateMate EasyConvert Place&Route2024.02-001needed for implementation
openFPGALoaderv.0.11.0needed for loading bitstream into FPGA


Hardware

Page properties
hiddentrue
idComments

Notes :

  • list of hardware which was used to generate the design
  • mark the module and carrier board, which was used tested with an *

Design supports following modules:

Scroll Title
anchorTable_HWM
title-alignmentcenter
titleHardware Modules

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes
TEG2000-01-P001--REV01--16MB--

*used as reference


Design supports following carriers:

Scroll Title
anchorTable_HWC
title-alignmentcenter
titleHardware Carrier

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Carrier ModelNotes
TE0703*We only support TE0703 up until now.

*used as reference

Additional HW Requirements:

Scroll Title
anchorTable_AHW
title-alignmentcenter
titleAdditional Hardware

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Additional HardwareNotes


*used as reference

Content

Page properties
hiddentrue
idComments

Notes :

  • content of the zip file

Design Sources

Scroll Title
anchorTable_DS
title-alignmentcenter
titleDesign sources

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

TypeLocationNotes
Toolchain<project folder>\binscript-based tools for synthesis, implementation,
bitfile generation and programming
fpga project

<project folder>\workspace\blink\log

<project folder>\workspace\blink\net

<project folder>\workspace\blink\sim

<project folder>\workspace\blink\src

.bat scripts can be used for synthesis & implementation & programming


Additional Sources

Scroll Title
anchorTable_ADS
title-alignmentcenter
titleAdditional design sources

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

TypeLocationNotes










Prebuilt

Page properties
hiddentrue
idComments

Notes :

  • prebuilt files
  • Template Table:

    • Scroll Title
      anchorTable_PF
      title-alignmentcenter
      titlePrebuilt files

      Scroll Table Layout
      orientationportrait
      sortDirectionASC
      repeatTableHeadersdefault
      style
      widths
      sortByColumn1
      sortEnabledfalse
      cellHighlightingtrue

      File

      File-Extension

      Description

      BIF-File*.bifFile with description to generate Bin-File
      BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
      BIT-File*.bitFPGA (PL Part) Configuration File
      Boot Script-File*.scr

      Distro Boot Script file

      DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

      Debian SD-Image

      *.img

      Debian Image for SD-Card

      Diverse Reports---Report files in different formats
      Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
      Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
      LabTools Project-File*.lprVivado Labtools Project File

      MCS-File

      *.mcs

      Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

      MMI-File

      *.mmi

      File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

      OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
      Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

      SREC-File

      *.srec

      Converted Software Application for MicroBlaze Processor Systems




Scroll Title
anchorTable_PF
title-alignmentcenter
titlePrebuilt files (only on ZIP with prebuilt content)

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

File

File-Extension

Description

Constraint-File*.ccfFPGA pin constraint for pin-location, naming, input-output setting etc. 
Design source-files*.v   *.vhdhdl design files describing the fpga functional description and I/O signals
Config File *.cfgConfig File Data for FPGA. Comments included. 
BIT-File*.bitFPGA (PL Part) Configuration File


Download

Page properties
hiddentrue
idComments

Reference Design is available on:

Design Flow & Launch

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue


Page properties
hiddentrue
idComments
Notes :
  • Basic Design Steps

  • Add/ Remove project specific description

Note

It's recommended to use TE prebuilt files for first launch.

→ HOW TO OPEN PROJECT and PROGRAM ← 

Launch
  1. After downloading the test design go into the directory <project folder>\workspace\blink\
  2. On Windows you can now run the *.bat scripts.
  3. Run synth2.bat 
  4. Run impl.bat
  5. Connect the Board (TEG2000 + TE0703 carrier) to power and USB, see Getting started
  6. Run flash.bat to program the on-board qspi flash
  7. Press reset, the green LED D2 should be blinking



System Design

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue


Page properties
hiddentrue
idComments

Note:

  • Programming and Startup procedure

Programming

Note

Check Module and Carrier TRMs for proper HW configuration before you try any design.

Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

Get prebuilt boot binaries

QSPI-Boot mode

JTAG

Not used on this example.

Usage

  • Prepare HW like described on section Programming
  • Connect UART USB (most cases same as JTAG)
  • Select SD Card as Boot Mode (or QSPI - depending on step 1)

    Info

    Note: See TRM of the Carrier, which is used.

    Power On PCB

    Expand
    titleboot process

    System Design - Vivado

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue
    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Description of Block Design, Constrains... BD Pictures from Export...



    Constraints

    Basic module constraints

    Code Block
    languageruby
    titleMF8A18_SoC.ccf
    ## blink.ccf
    #
    # Date: 2022-10-21
    #
    # Format:
    # <pin-direction> "<pin-name>" Loc = "<pin-location>" | <opt.-constraints>;
    #
    # Additional constraints can be appended using the pipe symbol.
    # Files are read line by line. Text after the hash symbol is ignored.
    #
    # Available pin directions:
    #
    # Pin_in
    #   defines an input pin
    # Pin_out
    #   defines an output pin
    # Pin_inout
    #   defines a bidirectional pin
    #
    # Available pin constraints:
    #
    # SCHMITT_TRIGGER={true,false}
    #   enables or disables schmitt trigger (hysteresis) option
    # PULLUP={true,false}
    #   enables or disables I/O pullup resistor of nominal 50kOhm
    # PULLDOWN={true,false}
    #   enables or disables I/O pulldown resistor of nominal 50kOhm
    # KEEPER={true,false}
    #   enables or disables I/O keeper option
    # SLEW={slow,fast}
    #   sets slew rate to slow or fast
    # DRIVE={3,6,9,12}
    #   sets output drive strength to 3mA..12mA
    # DELAY_OBF={0..15}
    #   adds an additional delay of n * nominal 50ps to output signal
    # DELAY_IBF={0..15}
    #   adds an additional delay of n * nominal 50ps to input signal
    # FF_IBF={true,false}
    #   enables or disables placing of FF in input buffer, if possible
    # FF_OBF={true,false}
    #   enables or disables placing of FF in output buffer, if possible
    # LVDS_BOOST={true,false}
    #   enables increased LVDS output current of 6.4mA (default: 3.2mA)
    # LVDS_TERM={true,false}
    #   enables on-chip LVDS termination resistor of nominal 100Ohm, in output mode only
    #
    # Global IO constraints can be set with the default_GPIO statement. It can be
    # overwritten by individual settings for specific GPIOs, e.g.:
    #   default_GPIO | DRIVE=3; # sets all output strengths to 3mA, unless overwritten
    #
    
    Pin_in   "clk"  Loc = "IO_SB_A8" | SCHMITT_TRIGGER=true;
    Pin_out  "UART_TXD"   Loc = "IO_SB_A4"; # MIO15
    Pin_out  "led"  Loc = "IO_SB_B4"; # one board LED
    #Pinenables on-chip LVDS termination resistor of nominal 100Ohm, in output mode only
    #
    # Global IO constraints can be set with the default_GPIO statement. It can be
    # overwritten by individual settings for specific GPIOs, e.g.:
    #   default_GPIO | DRIVE=3; # sets all output strengths to 3mA, unless overwritten
    #
    
    Pin_in   "resetnclk"   Loc = "IO_EASB_B2A8"; # TEB0707 user button active high!
    #Pin| SCHMITT_TRIGGER=true;
    Pin_in   "resetnrst"   Loc = "IO_SBEB_B6B0"; # permanent 1SW3
    #PinPin_in out  "resetnled"   Loc = "IO_SB_B5B4"; # permanent 0
    
    
    D1
    
    







    Additional Software

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    No additional software is needed.

    App. A: Change History and Legal Notices

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Document Change History

    To get content of older revision go to "Change History" of this page and select older document revision number.

    Page properties
    hiddentrue
    idComments
    • Note this list must be only updated, if the document is online on public doc!
    • It's semi automatically, so do following
      • Add new row below first

      • Copy "Page Information Macro (date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

      • Metadata is only used of compatibility of older exports


    Scroll Title
    anchorTable_dch
    title-alignmentcenter
    titleDocument change history.

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths2*,*,3*,4*
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateDocument Revision

    Authors

    Description

    Page info
    modified-date
    modified-date
    dateFormatyyyy-MM-dd

    Page info
    infoTypeCurrent version
    dateFormatyyyy-MM-dd
    prefixv.
    typeFlat

    Page info
    infoTypeModified by
    typeFlat

    • change list
    --all

    Page info
    infoTypeModified users
    dateFormatyyyy-MM-dd
    typeFlat

    --


    Legal Notices

    Include Page
    IN:Legal Notices
    IN:Legal Notices



    Scroll Only


    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 0%;
    }</style>
    



    Scroll pdf ignore


    Custom_fix_page_content

    Table of contents

    Table of Contents
    outlinetrue