Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

...

The "normal" Quartus project will be generated in the subfolder "/quartus/" and the additional software part will be generated in the subfolder "/software/" after executing scripts.

There are several options to create the Quartus project from the project delivery. 

One option to create project ist using the "Module Selection Guide" in "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OSTo create project do the following steps:

  1. Execute "create_createproject_win_setup.cmd" or "create_createproject_linux_setup.sh"
  2. Select "Module Selection Guide" (press "0" and Enter)
  3. Follow instructions

For manuell configuration or addition command files for execution will be generated with "_create_win_setup.cmd" or "_create_linux_setup.sh". If you use our prepared batch files for project creation do the following steps:

  1. your board in "Board selection" section
  2. Click on "Create project" button

If you don't use predefined quartus installation path, open "design_basic_settings.cmd/.sh" with text editor and set correct quartus

...

installation path.

See  Reference Design: Getting Started for more details.

...

DescriptionPCB Name
Project Name+(opt. Variant)
supported Quartus Version
Date
Example:TEI0001TEI0006-test_board(_noprebuilt)-quartus_1819.14-2019102410083620200229145924.zip

Last supported Release

Type or FileVersion
Quartus Prime1819.1 lite / 19.4 pro
Trenz Project Scripts1819.14.000
Trenz <board_series>_devices.csv1.0
Trenz zip_ignore_list.csv1.01
Trenz mod_list.csv1.01

Currently limitations of functionality

      • no current limitations of functionality

...

File or DirectoryTypeDescription
<design_name>work, base directoryBase directory with predefined batch files (*.cmd, *.sh) to generate or open Quartus-Projectquartus project or program device
<design_name>/backup/generated(Optional) Directory for project backups
<design_name>/board_files/sourceLocal list of available board variants (<board_series>_devices.csv)
<design_name>/consolesourceFolder with different command files. Use _create_win_setup.cmd to generate files on top folder
<design_name>/log/generated(Temporary) Directory with quartus log files (used only with predefined commands from tcl scripts, otherwise this logs will be writen into the Quartus working work directory)
<design_name>/prebuilt/prebuiltContains subfolders for different board variants
<design_name>/prebuilt/<board_part_shortname>prebuiltDirectory with prebuilt programming files (*.pof, *.sof or *.jic) for FPGA and different source files for hardware (*.sopcinfo) and software (*.elf) included in subfolders
<design_name>/prebuilt/<board_part_shortname>/programming_files/prebuiltDirectory with prebuilt programming files (*.pof, *.sof or *.jic)
<design_name>/prebuilt/<board_part_shortname>/hardware/prebuiltDirectory with prebuilt hardware sources (*.sopcinfo)
<design_name>/prebuilt/<board_part_shortname>/software/prebuiltDirectory with prebuilt software sources (*.elf)
<design_name>/quartus/generated(Temporary) Directory where Quartus project is created. Quartus project file is <design_name>.qpf
<design_name>/scripts/sourceTCL scripts to build a project
<design_name>/settings/source(Optional) Additional design settings: zip_ignore_list.csv, mod_list.csv, preset_qsys.qprs

<design_name>/software/

generated(Temporary) Directory with additional software
<design_name>/source_files/sourceDirectory with source files needed for creating project
<design_name>/source_files/quartus/sourceSource files for Quartus project
<design_name>/source_files/software/sourceSource files for additional software

Command Files

...

create_project_win

...

.cmd

...

/create_project_linux

...

.sh

...

Windows Command Files

...

...

Use to create

...

Settings for the other *.cmd files. Following settings are avaliable:

  • General Settings:
    • (optional) DO_NOT_CLOSE_SHELL: Shell do not closed after processing
  • Intel settings:
    • QUADIR: Set Intel installation path (default: C:/intelFPGA_lite).
    • QUARTUS_VERSION: Current Quartus Version (default: 18.1). Don't change Quartus Version.
      • Intel Software will be searched in:
      • QUARTUS (optional for project creation and programming): %QUADIR%/%QUARTUS_VERSION%/quartus/

      • SDK (optional for software projects and programming): %QUADIR%/%QUARTUS_VERSION%/nios2eds/

    • Board Setting:
      • PARTNUMBER: Set Board part number of the project which should be created
        • Available Numbers: (you can use ID,PRODID,BOARDNAME or SHORTNAME from <design_name>/board_files/TEIxxxx_devices.csv list)
        • Used for project creation and programming
        • Example TEI0001 Module :
          1. Use ID → PARTNUMBER=1
          2. Use PRODID → PARTNUMBER=TEI0001-02-08-C8 

...

Hardware Design

...

Create Project with settings from "design_basic_settings.cmd" and source folders. Build all Quartus hardware and software files if the sources are available.

If old quartus project exists, type "y" into the command line input to delete  "<design_name>/quartus/", and "<design_name>/software/" directory with related files before project will created again.

...

Linux Command Files

...

project, open project or program device.

Create projectImage Added

  • Board selection

Select your board from listed modules. To find easier the correct board you can use the filter function. Click on "clear filter" button to reset the filter and show all available modules.

  • Documentation

Some links to more information about the board, reference design and create_project_win.cmd/create_project_linux.sh gui.

  • Messages

Messagebox shows different info, warning and error messages.

  • Buttons
    • Create project→ start create project from source files for selected board in "Board selection".
    • Open project → open existing project in quartus prime gui.
    • Program device → opens "Program device" window:

Program deviceImage Added

      • Select between "Program prebuilt file" (if available, download reference design with prebuilt files is required) and "Program other file" (select your own generated file via "Browse ..." button).
      • Buttons:
        • Browse ... → choose path to own generated programming file
        • Start program device → start program device with selected programming file
        • Open programmer GUI → open Quartus Programmer GUI
        • Cancel → Quit "Program device" window
    • Exit → Quit "Create Project" window

...


design_basic_settings.cmd/sh

Settings for the

...

*.

...

cmd files. Following settings are avaliable

...

:

...

  • Intel settings:
    • QUADIR: Set Intel installation path

...

      • default for quartus lite version: Win OS: C:/intelFPGA_lite - Linux OS: ~/intelFPGA_lite

...

      • default for quartus pro version: Win OS: C:/intelFPGA_pro - Linux OS: ~/intelFPGA_pro
    • QUARTUS_VERSION: Current Quartus Version (

...

    • quartus lite version → 19.1 / quartus pro version → 19.4). Don't change

...

    • quartus version.
      • Intel Software will be searched in:
      • QUARTUS (optional for project creation and programming): %QUADIR%/%QUARTUS_VERSION%/quartus/

      • SDK (optional for software projects and programming): %QUADIR%/%QUARTUS_VERSION%/nios2eds/

...

    • QUARTUS_EDITION:

      ...

      • Available Numbers: (you can use ID,PRODID,BOARDNAME or SHORTNAME from <design_name>/board_files/TEIxxxx_devices.csv list)
      • Used for project creation and programming
      • Example TEI0001 Module :
        1. Use ID → PARTNUMBER=1
        2. Use PRODID → PARTNUMBER=TEI0001-02-08-C8 

      ...

      Hardware Design

      ...

      Create Project with settings from "design_basic_settings.sh" and source folders. Build all Quartus hardware and software files if the sources are available.

      If old quartus project exists, type "y" into the command line input to delete  "<design_name>/quartus/", and "<design_name>/software/" directory with related files before project will created again.

          • quartus lite version: Lite
          • quartus pro version: Pro
      • General Settings:
        • (optional) DO_NOT_CLOSE_SHELL: Shell do not closed after processing

      ...

      Design Environment: Usage

      Reference-Design: Getting Started

      Create project:

      1. Run "create_

      ...

      1. project_win

      ...

      1. .cmd" or "create_

      ...

      1. project_linux

      ...

      1. .sh"

        ...

          • "desgin_basic_settings.cmd/

        ...

          • sh" will be

        ...

          • copied to basefolder and configured automatically.
        1. select your Board in "Board selection" section.
        2. click on "Create project" to generate project for selected board

        Manual configuration of the design basic settings (Note: batch/bash files works only in the basefolder of the project, use "create_

        ...

        project_win

        ...

        .cmd"/"create_

        ...

        project_linux

        ...

        .sh" or copy manually)

        ...

        • 1. Open  Open design_basic_settings.cmd/.sh” with a text-editor:
              a. Set correct Quartus Environment:
                   Set correct quartus environment:
                 Example for quartus lite edition:
                     @set QUADIR=C:/intelFPGA_lite (example for Windows Win OS)
                             @set QUARTUS_VERSION=1819.1
                     @set QUARTUS_EDITION=Lite
                 Example for quartus pro edition:
                     @set QUADIR=C:/intelFPGA_pro (example for Win OS)
                     @set QUARTUS_VERSION=19.4
                     @set QUARTUS_EDITION=Pro
                  Program settings will be search are searched in:
                             %QUADIR%/%QUARTUS_VERSION%/quartus/
                            %QUADIR%/%QUARTUS_VERSION%/nios2eds/
                  Example directory: C:/intelFPGA_litepro/1819.14/
                  Attention: Scripts are supported only with predefined Quartus Version!

        Programming FPGA or flash memory:

        • General steps:
              b. Set the correct module part-number:      
                  @set PARTNUMBER=x
                  You find the available Module Numbers in <design_name>/board_files/TEIxxxx_devices.csv
          Create a project in one step:
          2. Run “quartus_1. Connect your Hardware-Modul to the PC via JTAG
              2. Open "create_project_batchmodewin.cmd/"quartus_create_project_bashmodelinux.sh
              3. Click on "Program device" button → The "Program device" window opens.
        • Program Programming FPGA or flash memory with prebuilt files:
          3. Connect your Hardware-Modul with PC via JTAG
          4. Open "Programmer (Quartus Prime 18.1)" from start menu or run "quartus_open_existing_project_guimode.cmd" and select Tools → Programmer
          5. Select (download reference design with prebuilt files is required)
              4. Select "Program prebuilt file"
              5. Click on "Start program device" button
        • Program with own generated files:
              6. Select "Program other file"
              7. Click on "Browse ..." to choose path to your own generated file (supported file types: *.jic, *.pof, *.sof)
              8. Click on "Start program device" button
        • Program device via Quartus Programmer:
              9. Click on  "Open programmer GUI"
            10. Select from Programmer top menu: Edit → Hardware Setup, select "Arrow-USB-Blaster [USB0]" and close window
            11. Click on "Add File..." and open choose correct file from <design_name>/prebuilt/<board_part_shortname>/programming_files/
          6. Press "Start" to programm FPGA or flash memoryprogramming file
            12. Enable "Program/Configure" checkbox and click on "Start" to program the device with the selected programming file

        Hardware Design

        Device list CSV Description

        Device list csv file is used for TE-Scripts only.

        ...

        To modifiy current device list csv list, make a copy of the original csv and rename with suffix "_mod.csv", ex.TEI0001TEI0006_devices.csv as TEI0001TEI0006_devices_mod.csv. Scripts use modified csv instead of the original file.

        ...

        Files which should not be added in the backup file can be defined in this file: "<design_name>/settings/zip_ignore_list.csv". This file will be loaded automaticaly automatically on script initialisation.

        ...

        HDL files can be saved in the subfolder "<design_name>/vhdlhdl/". They will be loaded automatically on project creation. Available formats are *.vhd, *.v and *.sv.

        ...

        1. Are you using exactly the same Quartus version? If not then the scripts will not work, no need to try.
        2. Are you using Quartus on Windows PC? Quartus works in Linux also, but the scripts are tested on Windows only.
        3. Win OS only: Use short path name, OS allows only 256 characters in normal path.
        4. Linux OS only: Use bash as shell and add access rights to bash files. Check with "ls ls /bin/sh". It should be display: /bin/sh -> bash. Access rights can be changed with "chmod"
        5. Are space character on the project path? Somtimes TCL-Scripts can't handle this correctly. Remove spaces from project path.
        6. Did you have the newest reference design build version? Maybe it's only a bug from a older version.
        7. On project creation process old files will be deleted. Sometimes the access will be denied by os (synchronisiation problem) and the scripts canceled. Please try again. 
        8. If nothing helps, send a mail to Trenz Electronic Support (support[at]trenz-electronic.de) with subject line "[TE-Reference Designs] ",  the complete zip-name from your reference design.

        ...

        DateRevisionQuartus VersionAuthorsDescription

        Page info
        modified-date
        modified-date
        dateFormatyyyy-MM-dd

        Page info
        current-version
        current-version
        prefixv.

        18

        19.1 Lite

        19.4 Pro

        Page info
        modified-user
        modified-user

        • Quartus Prime update to 19.1 lite and 19.4 pro
        • change to tcl/tk
        2019-11-11


        v.5


        18.1Thomas Dück


        • add description for *.sh files (Linux OS)
        2019-10-29v.418.1Thomas Dück
        • initial release

        All

        Page info
        modified-users
        modified-users


        ...