You are viewing an old version of this page. View the current version.

Compare with Current View Page History

« Previous Version 10 Next »

Overview

Vivado projects are delivered in most cases as "re-create scripts" that re-build the projects when executed. See: Design Examples

There are 3 options to create the Vivado project from the Trenz Electronic Project Delivery.

  • Option 1: Currently only for Windows OS available
  • Option 2 and 3: Can be used as workaround for Linux OS.

Option 1 Create Trenz Electronic reference project with the delivered batch-files (recommended):

  1. open "design_basic_settings.cmd" with text editor and set correct vivado path and board part number
  2. run "vivado_create_project_guimode.cmd"
  • Project will be generate and open automatically (additional optional TE TCL-functions are available).
  • Detailed Description how to use the reference design are written on Project Delivery

Option 2 Create Trenz Electronic reference project with Vivado TCL-shell:

  1. create sub-folder ./v_log  in the base reference project directory
  2. open Vivado tcl-Shell (Vivado Version must be the same as the project zip files version)
  3. change the directory to the ./vlog folder on the reference project
  4. create help function, type:

    proc src {file args} {
      set argv $::argv
      set argc $::argc
      set ::argv $args
      set ::argc [llength $args]
      set code [catch {uplevel [list source $file]} return]
      set ::argv $argv
      set ::argc $argc
      return -code $code $return
    }
  5. to create a Vivado project replace %PARTNUMBER% in the code block with the correct board number from ./board_files/TEC0725_board_files.csv and type:

    src ../scripts/script_main.tcl  --run 1 --gui 1 --clean 2 --boardpart %PARTNUMBER%
  • Project will be generated automatically (additional optional TE-TCL-functions are available).
  • Detailed Description how to use the reference design are written on Project Delivery

Option 3 Create Trenz Electronic reference project manually without scripts (not recommended):

  1. Install Board Part files from the reference project, as described in option 2 or option 3 from Vivado Board Part Flow Installation
  2. Create new empty Vivado Project (without import any files, select only the correct board part) (Vivado Version must be the same as the project zip files version)
  3. Set Local IP Path: "Project Manager" → IP Catalog → Right click → Add Repositories : Select ./ip_lip from the reference project
  4. Add XDC-Constrains: "Project Manager" → Add Sources → Add or create constrains: Select the correct ./constrains/*.xdc from the reference project
  5. Load Block Design: Select Tools → Run TCL Script...: Select the correct ./block_design/*_bd.tcl from the reference project
  • Project will be generated automatically (no additional TE-TCL-functions are available).
  • Attention: All project deliveries are for multi assembly option support and the provided scripts select the correct sources. So all manual imports must be done for the correct module!
  • No labels