Overview

The Vivado® Design Suite allows you to create projects based on specific boards. Trenz Electronic provides Vivado Board Part files in the download area. This files are included into the reference projects, please choose a reference design under the proper module.

There are three options for installing the Board Part files

Attention: The board part files of our reference designs are for the corresponding Vivado version of the project delivery. It's possible to use them with other Vivado versions, but maybe it's not working correctly, if this is done.

Option 1: Use Trenz Electronic Reference Design with local board part file

Trenz Electronic will provide latest board part files at first with the newest reference designs.

  • Since 2018.3 special "Module Selection Guide" is included into "_create_win_setup.cmd" and "_create_linux_setup.sh"
  • These board part file will be set for the local project, if the project is generated with the provided scripts from the project delivery. More information on: Project Delivery - Xilinx devices
  • A overview page of the newest reference designs and links to the documentation and downloads are available on: TE Reference Designs Overview#Overview
  1. Download the reference design from the corresponding download area of the Trenz Electronic Product
  2. Since 2018.3 special "Module Selection Guide" is included into "_create_win_setup.cmd" and "_create_linux_setup.sh"
  3. Unzip download (use short directory name)
  4. Execute "_create_win_setup.cmd" or "_create_linux_setup.sh" (depending on the used OS)
  5. Follow instruction on the console window
    1. (optional) Select Vivado Installation path and Version (will be possible in the expected version wasn't found on Xilinx installation path)
    2. Console will show a list of available assembly versions (board files) for the reference design:
    3. Select ID number to select the board or use filter function to reduce the table with one of the other categories from the table header
    4. Verify selection and create project

Option 2: Xilinx Git Hub for Vivado

Board Files for Trenz Electronic Modules will be available on Xilinx Git Hub store for Vivado 2020.1 and newer

Trenz Electronic will update board files which are available  the Github regularly, but latest board part files tested with the corresponding Vivado version will be still  delivered first with the reference designs.

Xilinx Git Hub Link: https://github.com/Xilinx/XilinxBoardStore

  1. Open Vivado
  2. Select download path for Git Hub Store (got to Tools → Settings):

  3. Open XHub Store and select "Boards" Tab
  4. Go to the device category of your Trenz Electronic SoC/FPGA Module, select the device and press Download Button
  5. Installed Board files will be marked with the green check mark.

Option 3: Install into a User Repository

This Option allows you to install the Vivado Board Part files to any location of your choosing. The disadvantage is that it required an additional command to point the tools to your repository.

This description is for Vivado 2015.1 and higher. Older Vivado versions used other variable names, so some changes are necessary.

Since Vivado 2017.1, "init.tcl" should be renamed to "Vivado_init.tcl"

  1. Create init.tcl with following content:

    if { [catch {
      # #############################
      # insert settings here:
      set tepath "C:/TE"
      if {[file exists ${tepath}/]} {
        set_param board.repoPaths $tepath
        puts "Set Board Part RepoPath: [get_param board.repoPaths]"
      }
      # #############################
    }] } {
      puts "Info: (TE) init.tcl failed"
    }
    
    


  2. Put init.tcl in one of the possible locations for init.tcl:
    1. Vivado Project (For current version only): <installdir>/vivado/<version>/scripts/
    2. User Data(For all versions): C:/Users/<user>/AppData/Roaming/Xilinx/Vivado/
  3. Copy the Board part files folder from the reference project (<reference_design>/board_files/) into the folder C:/TE (the folder location can be changed in the init.tcl script). The *.csv file from <reference_design>/board_files/ is not necessary for this way.
  4. Start your Vivado Version and the TCL-console printout should be the following:



    Please make sure you use plain ASCII text editor when creating the init.tcl file, any non ASCII character in the file will make Vivado to freeze on startup.

    A working init.tcl file is provided in the archive with this tutorial. With this method you can set up a permanent initialization TCL that is read by Vivado each time it launches. Details about the init.tcl file are included in UG835 Vivado Design Suite Tcl Command Reference Guide. UG835 describes where to put the init.tcl file. 


Option 4: Install into the Vivado installation

This is the quickest option, but it is not recommended by Xilinx. Use at your own risk.

  1. Copy the Board part files folder from the reference project (<reference_design>/board_files/) into the folder <installdir>/vivado/<version>/data/boards/board_files/. The *.csv file from <reference_design>/board_files/ is not necessary for this way.
     

Load on Vivado

Regardless of which method you choose, the new boards should now be visible in Vivado 2015.1 or higher for vendor trenz.biz . To test this out, do the following.

  1. Launch Vivado.
  2. Select Create New Project.
  3. Click Next>  to get started.
  4. Set the project name and location. Press Next>.
  5. Select project type Click Next>.
  6. At the Default Part screen,
    a, Click Boards under Specify.
    b, Click trenz.biz under Vendor.

The options should appear as shown.


For more information about this capability, please refer to the following Quick Take video.

Software Requirements

The software used is