Download PDF Version of this Document.


Table of Contents

Overview

Online version of this manual and other related documents can be found at https://shop.trenz-electronic.de/de/Download/?path=Trenz_Electronic/TE0710
Trenz Electronic TE0710 is an industrial-grade FPGA module integrating a Xilinx Artix-7 FPGA, Dual 10/100 MBit Ethernet PHYs, 512 MByte DDR3 SDRAM with 8-bit data-width, 32 MByte Quad SPI Flash memory for configuration and operation and powerful switch-mode power supplies for all on-board voltages. A large number of configurable I/O's is provided via rugged high-speed stacking strips. All modules in 4 x 5 cm form factor are mechanically compatible.

All this on a tiny footprint, smaller than a credit card, at the most competitive price.

Block Diagram

Figure 1: TE0710-02 Block Diagram

Main Components

   

Figure 2: TE0710 (REV 02).

  1. Artix-7 (15T to 100T) FPGA
  2. TPS51206 DDR3-SDRAM voltage supply
  3. MEM4G08D3EABG 512 MByte DDR3 SDRAM
  4. EN5311QI Voltage Regulator 1.5V
  5.  S25FL256S 32 Mbyte Quad SPI Flash memory
  6. System Controller CPLD (Lattice LCMXO2-256HC): 256 Macrocell CPLD
  7. EN6347QI voltage Regulator 1.0V
  8. SiT8008AI 25 MHz Ethernet reference clock
  9. B2B connector JM2 (0,40 mm Razor Beam™ High Speed Hermaphroditic Terminal/Socket Strip (LSHM-150))
  10. B2B connector JM1 (0,40 mm Razor Beam™ High Speed Hermaphroditic Terminal/Socket Strip (LSHM-150))
  11. EN5311QI voltage Regulator 1.8V
  12. TLK106 10/100 Mbps Ethernet PHY
  13. TLK106 10/100 Mbps Ethernet PHY
  14. 11AA02E48T-I/TT 2 Kbit EEPROM with UNI/O serial interface
  15. SiT8008AI 100 MHz reference clock (connected to FPGA bank 35)

Key Features

Assembly options for cost or performance optimization available upon request.

Initial Delivery State

Storage device name

Content

Notes

SPI Flash OTP Area

Empty, not programmed

Except serial number programmed by flash vendor.

SPI Flash Quad Enable bit

Programmed

-

SPI Flash main array

Demo design

-

EFUSE USER

Not programmed

-

EFUSE Security

Not programmed

-

Table 1: Initial delivery state

Signals, Interfaces and Pins

Board to Board (B2B) I/Os

I/O signals connected to the FPGA's I/O banks and B2B connector:

BankTypeB2B ConnectorI/O Signal CountVoltageNotes

0

HR

-

-

3.3VConfiguration bank

14

HR

JM1

8 I/O-pins

3.3V

HR-Banks support voltages from 1.2V to 3.3V standards.

See the Artix-7 datasheet (DS181) for the allowable voltage range.

15

HR

JM1

48 I/O-pins

24 LVDS-pairs possible

user

as above

16

HR

JM1

6 I/O-pins

3 LVDS-pairs possible

3.3V

as above
34HRJM2

50 I/O-pins

24 LVDS-pairs possible

useras above
35HR--1.5Vconnected to 512 MByte DDR3 SDRAM

 Table 2 Voltage ranges and pin-outs of available logic banks of the FPGA

Please use Master Pinout Table table as primary reference for the pin mapping information.

JTAG Interface

JTAG access to the Xilinx Artix-7 and to the System Controller CPLD is provided through B2B connector JM2.

JTAG SignalB2B Connector
TCKJM2-99
TDIJM2-95
TDOJM2-97
TMS

JM2-93

JTAGENJM1-89

Table 3: Pin-mapping of JTAG Interface on B2B connector

Select by JTAGEN pin on B2B connector JM1-89 either to access FPGA Artix-7 (JTAGEN pin driven low or open) or System Controller via JTAG (JTAGEN pin driven high).

The use of Xilinx legacy development tools (ISE, Impact) is not recommended. Impact recognizes only A100T, any smaller Artix-7 FPGA is not recognized as Xilinx FPGA by Impact.

System Controller I/O Pins

Special purpose pins are connected to smaller System Controller CPLD and have following default configuration:

Pin NameModeFunctionDefault ConfigurationB2B Connector
PGOODOutputPower GoodActive high when all on-module power supplies are working properly.JM1-30
RESINInputResetActive low reset signal, drive low to keep the system in reset (FPGA pin PROG_B will be driven by CPLD)JM2-18
JTAGENInputJTAG SelectLow for normal operation, high (3.3V) to programm the System Controller CPLDJM1-89

Table 4: Pin-description of System Controller CPLD

LEDs

On the SoM TE0710 there is a total of 3 LEDS available. Two LEDs are status LEDs, one can freely used in costumer designs. The user LED is routed to the FPGA by the net with the schematic-name 'USERLED'.

When the FPGA is not configured the status LEDs will flash continuously. Finally once FPGA configuration has completed the status LEDs can be used in the user's FPGA design.

LEDColorConnected to pinDescription and Notes
D1redSYSLED2System Controller status LED, connected to CPLD
D2greenSYSLED1System Controller status LED, connected to CPLD
D3redUSERLEDUser LED, active LOW, connected to FPGA Pin L15

Table 5: Description of the on board LEDs

Clocking

The TE0710 is equipped with two Sitara reference clocks to provide clock signals to the Ethernet PHYs and for the on board 512 MByte DDR3 SRRAM.

 ClockFrequencyICConnected toNotes

Ethernet reference

25 MHz

U9 SiT8008AI-73-XXS-25.000000EICs U3, U6 TLK106RHBclock signal shared by both Ethernet PHYs
DDR3 SDRAM reference100 MHz

U8 SiT8008AI-73-XXS-100.000000E

FPGA bank 35, pin F4forwarded as differential clock signal to DDR3 SDRAM IC U12 MEM4G08D3EABG-125

Table 6: Clocks overview

Onboard Peripherals

32 Mbyte Quad SPI Flash Memory

An SPI flash memory S25FL256S (U7) is provided for FPGA configuration file storage. After configuration completes the remaining free memory can be used for application data storage. All four SPI data lines are connected to the FPGA allowing x1, x2 or x4 data bus widths to be used. The maximum data rate will be dependent on the bus width and clock frequency.

SPI Flash QE (Quad Enable) bit must be set, or the FPGA would not configure from Flash. This bit is always set at manufacturing.

System Controller

The system controller is used to coordinate the configuration of the FPGA. The FPGA is held in reset (by driving the PROG_B signal) until the power supplies have sequenced. Low level at RESIN pin also resets the FPGA. This signal can be driven from the user’s PCB via the B2B connector pin JM2-18. Input EN1 is also gated to FPGA Reset, should be open or pulled up for normal operation. EN1 low does not turn off on board DCDC converters.

It is possible for the user to create their own system controller design using the Lattice Diamond software. Once created the design can be programmed into the device using the JTAG pins. The signal JTAGEN should be set to 3.3V to enable programming mode. For normal operation it should be set to 0V.

There are two LEDs that are connected to the system controller. When the FPGA is not configured the LEDs will flash continuously. Finally once FPGA configuration has completed the LEDs can be used in the user's FPGA design.

DDR3 SDRAM

The TE0710-02 board is equipped with one DDR3 SRRAM IC (U12) with a capacity of 512 MByte volatile memory for storing user code and data.

Configuration of the DDR3 memory controller in the FPGA should be done using the Xilinx MIG tool in the Vivado IP catalog.

Ethernet

The TE0710-02 is equipped with two  TI TLK106 10/100 MBit Ethernet PHYs (U3 and U6). The I/O Voltage is fixed at 3.3V. The reference clock input for both PHYs is supplied from an on board 25MHz oscillator (U9).

Both Ethernet PHYs are connected to FPGA Bank 14 using MII interface.

Note: Pin ETH2_INT (power down or interrupt, default function is power down) is connected to FPGA bank 16 (pin D10).

Power and Power-On Sequence

Power Supply

Power supply with minimum current capability of 2A for system startup is recommended.

 Power Input PinVoltage RangeMax Current
VIN3.3V to 5.5VTypical 200mA, depending on customer design and connections.
3.3VIN3.3VTypical 50mA, depending on customer design and connections.

Table 7: maximal current of power supplies

Vin and Vin 3.3V can be connected to the same source (3.3 V).

Lowest power consumption is achieved when powering the module from single 3.3V supply. When using split 3.3V/5V supplies the power consumption (and heat dissipation) will rise, this is due to the DC/DC converter efficiency (it decreases when VIN/VOUT ratio rises).

Power-On Sequence

For highest efficiency of on board DC/DC regulators, it is recommended to use same 3.3V power source for both VIN and 3.3VIN power rails. Although VIN and 3.3VIN can be powered up in any order, it is recommended to power them up simultaneously.

It is important that all baseboard I/O's are 3-stated at power-on until System Controller sets PGOOD signal high (B2B connector JM1, pin 30), or 3.3V is present on B2B connector JM2 pins 10,12 or 91, meaning that all on-module voltages have become stable and module is properly powered up.

See Xilinx datasheet DS181 (for Artix7) for additional information. User should also check related baseboard documentation when choosing baseboard design for TE0710 module.

A 3.3V supply is also needed and must be supplied from the user's PCB. An output 3.3V supply is available on some of the board connector pins (see section 'Power Rails'). The input 3.3VIN will be switched to the internal 3.3V voltage level after the FPGA 1.0V supply is stable. Than 3.3V supply will be available on the B2B connector pins.

The regulators can be powered from the 3.3V supply or a 5V supply if preferred. The options for powering the board are as follows:

Power Rails

Voltages on B2B-

Connectors

B2B JM1-PinB2B JM2-PinDirectionNote
VIN

1, 3, 5

2, 4, 6, 8inputsupply voltage
3.3VIN13, 15-inputsupply voltage
VCCIO159, 11-inputhigh range bank voltage
VCCIO34-7, 9inputhigh range bank voltage
3.3V1410, 12, 91outputinternal 3.3V voltage level
1.8V39-outputinternal 1.8V voltage level
1.5V-19outputinternal 1.5V voltage level

Table 8: Power rails of SoM on B2B connectors

Bank Voltages

BankSchematic NameVoltageRange
0 Config3.3V3.3V-
143.3V3.3V- 
15VCCIO15userHR: 1.2V to 3.3V
163.3V3.3V-
34VCCIO34userHR: 1.2V to 3.3V
351.5V1.5V- 

Table 9: Range of FPGA's bank voltages

See the Artix7 datasheet DS181 for the allowable voltage range.

Board to Board Connectors

Variants Currently In Production

Module Variant

FPGA

FPGA Junction Temperature

Operating Temperature Range
TE0710-02-100-2CFXC7A100T-2CSG324C0°C to 85°Ccommercial grade
TE0710-02-35-2CFXC7A35T-2CSG324C0°C to 85°Ccommercial grade
TE0710-02-100-2IFXC7A100T-2CSG324I-40°C to 100°Cindustrial grade
TE0710-02-35-2IFXC7A35T-2CSG324I-40°C to 100°Cindustrial grade
TE0710-02-100-1QXA7A100T-1CSG324Q-40°C to 125°Cindustrial grade

Table 10: Differences between variants of Module TE0710-02

Technical Specifications

Absolute Maximum Ratings

ParameterMinMaxUnitsNotes

VIN supply voltage

-0.37.0VEN6347QI / EN5311QI data sheet
3.3VIN supply voltage

-0.1

3.6 V-
PL IO bank supply voltage for HR I/O Banks (VCCO)-0.53.6 V-
 I/O input voltage for HR I/O banks-0.4 VCCO_X+0.55 V-
 Voltage on module JTAG pins

-0.5

 VCCO_0+0.45 VVCCO_0 is 3.3V nominal.
 Storage temperature-55

+100

 °C-

Table 11: Absolute maximum ratings

Recommended Operation Conditions

ParameterMinMaxUnitsNotesReference Document
 VIN supply voltage2.45.5 V-EN5311QI data sheet
 3.3VIN supply voltage3.1353.465 V-

3,3V ± 5%

 PL I/O bank supply voltage for HR

I/O banks (VCCO)

1.143.465 V-

Xilinx datasheet DS181

 I/O input voltage for HR I/O Banks- 0.20VCCO + 0.2 V-

Xilinx datasheet DS181

 Voltage on Module JTAG pins3.1353.465 V-3,3V ± 5%

Table 12: Recommended operation conditions

Please check Xilinx datasheet (DS181) for complete list of absolute maximum and recommended operating ratings.

Operating Temperature Ranges

Commercial grade: 0°C to +70°C.

Industrial grade: -40°C to +85°C.

The module operating temperature range depends also on customer design and cooling solution. Please contact us for options.

Physical Dimensions

All dimensions are shown in mm.

   

Figure 3: Physical Dimensions of the TE0710-02 board

Weight

 11.5 gwithout bolts
20.3 gwith bolts

Revision History

Hardware Revision History

DateRevisionNotesPCNDocumentation link
 02Current Hardware Revision  
 01First production release  

Hardware revision number is printed on the PCB board together with the module model number separated by the dash.


Document Change History

DateRevisionContributorsDescription
2016-12-19
Ali Naseri

TRM revision

2015-01-230.1
initial version

Disclaimer