Download PDF Version of this Document.


Table of Contents

Overview

Refer to https://shop.trenz-electronic.de/de/Download/?path=Trenz_Electronic/TE0711 for online version of this manual and the rest of available documentation.
 

Trenz Electronic TE0711 is an industrial-grade FPGA module integrating a Xilinx Artix-7 FPGA, 32 MByte Quad SPI Flash memory for configuration and operation and powerful switching-mode power supplies for all on-board voltages. Numerous configurable I/O's are provided via rugged high-speed strips. All this on a tiny footprint, smaller than a credit card size at very competitive price. All Trenz Electronic SoM's in 4 x 5 cm form factor follow the same mechanical design rules, thus they are interchangeable.

Block Diagram

Figure 1: TE0711-01 block diagram.

Main Components

   

Figure 2: TE0711 (REV 01).

  1. Artix-7 (15T to 100T) FPGA
  2. EN6347QI voltage Regulator 1.0V
  3. EN5311QI voltage Regulator 1.8V
  4. S25FL256S 32 MByte Quad SPI Flash memory
  5. Dual USB to UART/FIFO Bridge (FT2232H)
  6. TPS27082L load switch for 3.3V voltage level
  7. B2B connector JM1 (0,40 mm Razor Beam™ High Speed Hermaphroditic Terminal/Socket Strip (LSHM-150))
  8. B2B connector JM2 (0,40 mm Razor Beam™ High Speed Hermaphroditic Terminal/Socket Strip (LSHM-150))
  9. B2B connector JM3 (0,40 mm Razor Beam™ High Speed Hermaphroditic Terminal/Socket Strip (LSHM-150))
  10. System Controller CPLD (Lattice LCMXO2-256HC): 256 Macrocell CPLD
  11. SiT8008AI 100 MHz reference clock (connected to FPGA bank 14)
  12. SiT8008AI 12 MHz reference clock (connected to USB to UART/FIFO Bridge)
  13. EEPROM (configuration data for USB to UART/FIFO Bridge)
  14. TPS3805H33 voltage detector for generating "Power OK"-signal indicating successful power-on-sequencing

Key Features

Assembly options for cost or performance optimization available upon request.

Initial Delivery State

Storage Component

Content

Notes

SPI Flash OTP Area

Empty, not programmed

Except serial number programmed by flash vendor.

SPI Flash Quad Enable bit

Programmed

-

SPI Flash main array

Demo design

-

EFUSE USER

Not programmed

-

EFUSE Security

Not programmed

-

Table 1: Initial delivery state

Signals, Interfaces and Pins

Board to Board (B2B) I/Os

I/O signals connected to the FPGA's I/O banks and B2B connector:

BankTypeB2B ConnectorI/O Signal CountVoltageNotes

0

HR

-

-

3.3VConfiguration bank

14

HR

JM1

JM2

8 I/O pins

18 I/O pins,

(9 LVDS pairs possible)

3.3V

HR banks support voltages from 1.2V to 3.3V.

See Xilinx Artix-7 datasheet (DS181) for voltage ranges.

15

HR

JM1

48 I/O pins

24 LVDS pairs possible

User

As above.

16

HR

JM1

6 I/O pins

3 LVDS pairs possible

1.8V

As above.
34HR

JM1

JM3

48 I/O pins

24 LVDS pairs possible

UserAs above.
35HRJM2

50 I/O pins

24 LVDS pairs possible

UserAs above.

Table 2: Voltage ranges and pin-outs of available logic banks of the FPGA.

Please use Master Pin-out Table as primary reference for the pin mapping information.

JTAG Interface

JTAG access to the Xilinx Artix-7 and to the System Controller CPLD is provided through B2B connector JM2.

JTAG SignalB2B Connector
TCKJM2-99
TDIJM2-95
TDOJM2-97
TMS

JM2-93

Table 3: Pin-mapping of JTAG Interface on B2B connector

JTAGSEL pin on B2B connector JM1 is used to control which physical device is accessible via JTAG interface. If this pin is set to low or left open, JTAG interface is enabled for Xilinx Artix-7 FPGA, if set to high, JTAG interface for System Controller CPLD will be enabled.

The use of Xilinx legacy development tools (ISE, iMPACT) is not recommended. iMPACT does not recognize any Xilinx Artix-7 below A100T model.

System Controller I/O Pins

Special purpose pins are connected to smaller System Controller CPLD and have following default configuration:

Pin NameModeFunctionDefault ConfigurationB2B Connector
STAT_SC2OutputPower GoodActive high when all on-module power supplies are working properly.JM1-30
NRST_SC0InputResetActive low reset signal, drive low to keep the system in reset (FPGA pin PROG_B will be driven by CPLD)JM2-18
JTAGSELInputJTAG SelectLow for normal operation, high (3.3V) to programm the System Controller CPLDJM1-89
EN_SC3InputEnable FPGA Core Voltage supplyHigh (3.3V) or open for normal operation, low to stop power-on sequencingJM1-28

Table 4: Pin-description of System Controller CPLD

LEDs

On the SoM TE0710 there is a total of 3 LEDS available. Two LEDs are status LEDs, one can freely used in costumer designs. The user LED is routed to the FPGA by the net with the schematic-name 'USERLED'.

When the FPGA is not configured the status LEDs will flash continuously. Finally once FPGA configuration has completed the status LEDs can be used in the user's FPGA design.

LEDColorConnected to pinDescription and Notes
D1redSYSLED2User LED, active HIGH, connected to FPGA Pin A8
D2greenSYSLED4User LED, active HIGH, connected to FPGA Pin R17
D3greenSYSLED3User LED, active LOW, connected to FPGA Pin L15
D4greenSYSLED1System Controller status LED, connected to CPLD

Table 5: Description of the on board LEDs

Clocking

The TE0710 is equipped with two MEMS oscillators to provide clock signals for two on-board Ethernet PHY's and DDR3 SDRAM.

 ClockFrequencyICConnected toNotes

Reference oscillator clock for USB to UART/FIFO Bridge (FT2232H)

12 MHz

U9 SiT8008AI-73-XXS-12.000000EIC U6, FTDI FT2232H-
FPGA bank 14 reference clock input100 MHz

U8 SiT8008AI-73-XXS-100.000000E

FPGA bank 14, pin P17reference clock for general user purposes

Table 6: Clocks overview

Onboard Peripherals

32 Mbyte Quad SPI Flash Memory

An SPI flash memory S25FL256S (U7) is provided for FPGA configuration file storage. After configuration completes the remaining free memory can be used for application data storage. All four SPI data lines are connected to the FPGA allowing x1, x2 or x4 data bus widths to be used. The maximum data rate will be dependent on the bus width and clock frequency.

SPI Flash QE (Quad Enable) bit must be set, or the FPGA would not configure from Flash. This bit is always set at manufacturing.

System Controller

The system controller is used to coordinate the configuration of the FPGA. The FPGA is held in reset (by driving the PROG_B signal) until the power supplies have sequenced. Low level at NRST_SC0 pin also resets the FPGA. This signal can be driven from the user’s PCB via the B2B connector pin JM2-18. Input EN_SC3 is also gated to FPGA Reset and should be open or pulled up for normal operation. EN_SC3 low turns off on board DC-DC converters and stops power-on sequencing.

It is possible for the user to create their own system controller design using the Lattice Diamond software. Once created the design can be programmed into the device using the JTAG pins. The signal JTAGSEL should be set to 3.3V to enable programming mode. For normal operation it should be set to 0V.

There are one status LED connected to the system controller CPLD. When the FPGA is not configured the LED will flash continuously. Finally once FPGA configuration has completed the LEDs can be used in the user's FPGA design.

Dual channel USB to UART/FIFO

The TE0711-01 SoM has on-board USB 2.0 High Speed to UART/FIFO IC FT2232HQ from FTDI. Channel A can only be used in simple UART mode, Channel B can be used as UART, in FT245 FIFO mode, JTAG (MPSSE) or High Speed Serial modes.

All FT2232HQ-pins are connected to bank 14 with fixed 3.3V VCCIO and should be used with LVCMOS33 I/O Standard.

There is also a standard 256 Byte EEPROM connected to the FT2232HQ-chip available to store custom configuration settings. EEPROM settings can be changed using FTDI provided tools that can be downloaded from FTDI website. See FTDI website for more information.

Power and Power-On Sequence

Power Supply

Power supply with minimum current capability of 2A for system startup is recommended.

 Power Input PinVoltage RangeMax Current
VIN3.3V to 5.5VTypical 200mA, depending on customer design and connections.
3.3VIN3.3VTypical 50mA, depending on customer design and connections.

Table 7: maximal current of power supplies

Vin and Vin 3.3V can be connected to the same source (3.3 V).

Lowest power consumption is achieved when powering the module from single 3.3V supply. When using split 3.3V/5V supplies the power consumption (and heat dissipation) will rise, this is due to the DC/DC converter efficiency (it decreases when VIN/VOUT ratio rises).

Power-On Sequence

For highest efficiency of on board DC/DC regulators, it is recommended to use same 3.3V power source for both VIN and 3.3VIN power rails. Although VIN and 3.3VIN can be powered up in any order, it is recommended to power them up simultaneously.

It is important that all baseboard I/O's are 3-stated at power-on until System Controller sets STAT_SC2 signal high (B2B connector JM1, pin 30), or 3.3V is present on B2B connector JM2 pins 10,12 or 91, meaning that all on-module voltages have become stable and module is properly powered up.

See Xilinx datasheet DS181 (for Artix7) for additional information. User should also check related baseboard documentation when choosing baseboard design for TE0711-01 module.

A 3.3V supply is also needed and must be supplied from the user's PCB. An output 3.3V supply is available on some of the board connector pins (see section 'Power Rails'). The input 3.3VIN will be switched to the internal 3.3V voltage level after the FPGA 1.0V supply is stable. Than 3.3V supply will be available on the B2B connector pins.

The regulators can be powered from the 3.3V supply or a 5V supply if preferred. The options for powering the board are as follows:

Power Rails

Voltages on B2B-

Connectors

B2B JM1-PinB2B JM2-PinDirectionNote
VIN

1, 3, 5

2, 4, 6, 8inputsupply voltage
3.3VIN13, 15-inputsupply voltage
VCCIO159, 11-inputhigh range bank voltage
VCCIO34-1, 3inputhigh range bank voltage
VCCIO35 7, 9inputhigh range bank voltage
3.3V1410, 12, 91outputinternal 3.3V voltage level
1.8V39-outputinternal 1.8V voltage level

Table 8: Power rails of SoM on B2B connectors

Bank Voltages

BankSchematic NameVoltageRange
0 Config3.3V3.3V-
143.3V3.3V- 
15VCCIO15userHR: 1.2V to 3.3V
161.8V1.8V-
34VCCIO34userHR: 1.2V to 3.3V
35VCCIO35userHR: 1.2V to 3.3V

Table 9: Range of FPGA's bank voltages

See the Artix7 datasheet DS181 for the allowable voltage range.

Board to Board Connectors

Variants Currently In Production

Module Variant

FPGAFPGA Junction TemperatureOperating Temperature Range
TE0711-01-100-2CXC7A100T-2CSG324C0°C to 85°Ccommercial grade
TE0711-01-35-2CXC7A35T-2CSG324C0°C to 85°Ccommercial grade
TE0711-01-100-2IXC7A100T-2CSG324I-40°C to 100°Cindustrial grade
TE0711-01-35-2IXC7A35T-2CSG324I-40°C to 100°Cindustrial grade

Table 10: Differences between variants of Module TE0711-01

Technical Specifications

Absolute Maximum Ratings

ParameterMinMaxUnitsNotes

VIN supply voltage

-0.37.0VEN6347QI / EN5311QI data sheet
3.3VIN supply voltage

-0.1

3.6 V-
PL IO bank supply voltage for HR I/O Banks (VCCO)-0.53.6 V-
 I/O input voltage for HR I/O banks-0.4 VCCO_X+0.55 V-
 Voltage on module JTAG pins

-0.5

 VCCO_0+0.45 VVCCO_0 is 3.3V nominal.
 Storage temperature-55

+125

 °C-

Table 11: Absolute maximum ratings

Recommended Operation Conditions

ParameterMinMaxUnitsNotesReference Document
 VIN supply voltage2.45.5 V-EN5311QI data sheet
 3.3VIN supply voltage3.1353.465 V-

3,3V ± 5%

 PL I/O bank supply voltage for HR

I/O banks (VCCO)

1.143.465 V-

Xilinx datasheet DS181

 I/O input voltage for HR I/O Banks- 0.20VCCO + 0.2 V-

Xilinx datasheet DS181

 Voltage on Module JTAG pins3.1353.465 V-3,3V ± 5%

Table 12: Recommended operation conditions

Please check Xilinx datasheet (DS181) for complete list of absolute maximum and recommended operating ratings.

Operating Temperature Ranges

Commercial grade: 0°C to +70°C.

Industrial grade: -40°C to +85°C.

The module operating temperature range depends also on customer design and cooling solution. Please contact us for options.

Physical Dimensions

All dimensions are shown in mm.

Figure 3: Physical Dimensions of the TE0711-01 board

Weight

20.6 gPlain module
8.8 gSet of bolts and nuts

Revision History

Hardware Revision History

DateRevisionNotesPCNDocumentation link
 01

First production release

Current Hardware Revision

  

Hardware revision number is printed on the PCB board together with the module model number separated by the dash.


Document Change History

DateRevisionContributorsDescription
2017-01-01

TRM revision

2015-06-050.1

 

initial version

Disclaimer