[Public Docs] All Content Feed
Confluence Syndication Feed
https://wiki.trenz-electronic.de
TE0950 Test Board
Markus Kirberg
tag:wiki.trenz-electronic.de,2009:page-179809768-51
2024-03-28T14:38:09Z
2023-06-26T09:32:27Z
<div class="feed"> <p>
Page
<b>edited</b> by
<a href=" https://wiki.trenz-electronic.de/display/~M.Kirberg
">Markus Kirberg</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<div class="contentLayout2">
<div class="columnLayout two-right-sidebar" data-layout="two-right-sidebar">
<div class="cell normal" data-type="normal">
<div class="innerCell">
<style>
.wrapped{
width: 100% !important;
max-width: 1200px !important;
}
</style><br/><h2 class="auto-cursor-target" id="TE0950TestBoard-Overview">Overview</h2><hr/><p>Versal PS Design with Linux Example. HW-Manager.<br/>Wiki Resources page: <a class="external-link" href="http://trenz.org/te0950-info">http://trenz.org/te0950-info</a></p><h3 id="TE0950TestBoard-KeyFeatures">Key Features</h3><p><ul><li>Vitis/Vivado 2023.2.1</li><li>PetaLinux</li><li>SD</li><li>eMMC</li><li>ETH</li><li>USB</li><li>I2C</li><li>MIPI-CSI2</li><li>MAC from EEPROM</li><li>User LEDs</li><li>with Artix Reference Design Counterpart test_board_artix<ul><li>to Artix: Chip2Chip connection</li><li>to Artix: 3-wire I2C Multiplexer</li></ul></li></ul></p><h3 id="TE0950TestBoard-RevisionHistory">Revision History</h3><div id="expander-127547317" class="expand-container"><div role="heading" aria-level="6" id="expander-control-127547317" class="expand-control"><button type="button" id="expand-button-127547317" class="aui-button aui-button-link aui-button-link-icon-text" aria-expanded="true" aria-controls="expander-content-127547317" ><span class="expand-icon aui-icon aui-icon-small aui-iconfont-chevron-down" aria-hidden="true"></span><span class="expand-control-text conf-macro-render">Expand List</span></button></div><div role="region" id="expander-content-127547317" class="expand-content" aria-labelledby="expand-button-127547317"><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Table_DRH"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped relative-table confluenceTable" style="width: 100.0%;"><colgroup><col style="width: 7.91837%;"/><col style="width: 6.12245%;"/><col style="width: 41.0395%;"/><col style="width: 7.82857%;"/><col style="width: 37.0517%;"/></colgroup><tbody><tr><th class="confluenceTh">Date</th><th class="confluenceTh">Vivado</th><th class="confluenceTh">Project Built</th><th class="confluenceTh">Authors</th><th class="confluenceTh">Description</th></tr><tr><td class="confluenceTd">2024-02-01</td><td class="confluenceTd">2023.2.1</td><td class="confluenceTd"><p>TE0950-test_board-vivado_2023.2-build_4_20240116133227.zip</p><p>TE0950-test_board<span style="color: rgb(23,43,77);">_noprebuilt</span>-vivado_2023.2-build_4_20240116133227.zip</p><p>TE0950-test_board_artix-vivado_2023.2-build_4_20240118214742.zip</p><p>TE0950-test_board_artix<span style="color: rgb(23,43,77);">_noprebuilt</span>-vivado_2023.2-build_4_20240118214742.zip</p></td><td class="confluenceTd">Markus Kirberg</td><td class="confluenceTd"><ul><li>2023.2 release</li></ul></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Design Revision History</strong></div></div></div></div><h3 id="TE0950TestBoard-ReleaseNotesandKnowIssues">Release Notes and Know Issues</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Table_KI"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Issues</th><th class="confluenceTh">Description</th><th class="confluenceTh">Workaround</th><th class="confluenceTh">To be fixed version</th></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Known Issues</strong></div></div><h3 id="TE0950TestBoard-Requirements">Requirements</h3><h4 id="TE0950TestBoard-Software">Software</h4><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Table_SW"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped relative-table confluenceTable" style="width: 51.2437%;"><colgroup><col style="width: 22.6335%;"/><col style="width: 11.1605%;"/><col style="width: 66.1661%;"/></colgroup><tbody><tr><th class="confluenceTh">Software</th><th class="confluenceTh">Version</th><th class="confluenceTh">Note</th></tr><tr><td class="confluenceTd">Vivado</td><td class="confluenceTd">2023.2.1</td><td class="confluenceTd"><div class="content-wrapper"><p>needed (Note: only 2023.2<strong>.1 </strong>contains production level support for xcve2302)</p><p><br/></p><div id="expander-817069802" class="expand-container"><div role="heading" aria-level="6" id="expander-control-817069802" class="expand-control"><button type="button" id="expand-button-817069802" class="aui-button aui-button-link aui-button-link-icon-text" aria-expanded="true" aria-controls="expander-content-817069802" ><span class="expand-icon aui-icon aui-icon-small aui-iconfont-chevron-down" aria-hidden="true"></span><span class="expand-control-text conf-macro-render">Note for REV02</span></button></div><div role="region" id="expander-content-817069802" class="expand-content" aria-labelledby="expand-button-817069802"><p><strong>(using -es1 Parts need):</strong></p><ul><li><strong>Installation of the ES Parts</strong></li><li><span>License for ES Part-Devices ([part]-</span><strong>es1</strong><span> </span><strong>and </strong><span>[part]</span><strong>-es1_bitgen</strong><span>)</span></li><li><span>activation of Beta Devices in Vivado install folder Vivado\2023.2\scripts\Vivado_init.tcl via </span></li></ul><div class="code panel pdl" style="border-width: 1px;"><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: java; gutter: false; theme: Confluence" data-theme="Confluence">enable_beta_device xcve*</pre>
</div></div><p><br/></p></div></div><p><br/></p></div></td></tr><tr><td class="confluenceTd">Vitis</td><td class="confluenceTd">2023.2</td><td class="confluenceTd"><p>needed,</p><p>Vitis is included in Vivado installation</p></td></tr><tr><td class="confluenceTd">PetaLinux</td><td class="confluenceTd">2023.2</td><td class="confluenceTd">needed</td></tr><tr><td class="confluenceTd">Vitis HLS</td><td class="confluenceTd">2023.2</td><td class="confluenceTd"><div class="content-wrapper"><p>needed (used for MIPI-Camera Pipeline)</p><p>Vitis HLS is included optionally in Vivado installation</p><p><br/></p><div id="expander-2032849465" class="expand-container"><div role="heading" aria-level="6" id="expander-control-2032849465" class="expand-control"><button type="button" id="expand-button-2032849465" class="aui-button aui-button-link aui-button-link-icon-text" aria-expanded="true" aria-controls="expander-content-2032849465" ><span class="expand-icon aui-icon aui-icon-small aui-iconfont-chevron-down" aria-hidden="true"></span><span class="expand-control-text conf-macro-render">Note for REV02</span></button></div><div role="region" id="expander-content-2032849465" class="expand-content" aria-labelledby="expand-button-2032849465"><p><strong>(using -es1 Parts need):</strong></p><ul><li>activation of Beta Devices in Vitis_HLS install folder Vitis_HLS\2023.2\scripts\HLS_init.tcl via</li></ul><div class="code panel pdl" style="border-width: 1px;"><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: java; gutter: false; theme: Confluence" data-theme="Confluence">enable_beta_device xcve*</pre>
</div></div><p><br/></p><p><strong> </strong></p></div></div><p><br/></p></div></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Software</strong></div></div><h4 id="TE0950TestBoard-Hardware">Hardware</h4><p>Basic description of TE Board Part Files is available on <a href="https://wiki.trenz-electronic.de/display/PD/TE+Board+Part+Files">TE Board Part Files</a>.</p><p>Complete List is available on "<project folder>\board_files\*_board_files.csv"</p><p>Design supports following modules:</p><div id="expander-2033672001" class="expand-container"><div role="heading" aria-level="6" id="expander-control-2033672001" class="expand-control"><button type="button" id="expand-button-2033672001" class="aui-button aui-button-link aui-button-link-icon-text" aria-expanded="true" aria-controls="expander-content-2033672001" ><span class="expand-icon aui-icon aui-icon-small aui-iconfont-chevron-down" aria-hidden="true"></span><span class="expand-control-text conf-macro-render">Expand List</span></button></div><div role="region" id="expander-content-2033672001" class="expand-content" aria-labelledby="expand-button-2033672001"><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Table_HWM"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped relative-table confluenceTable" style="width: 68.8722%;"><colgroup><col style="width: 18.7576%;"/><col style="width: 21.1937%;"/><col style="width: 19.9756%;"/><col style="width: 6.21194%;"/><col style="width: 10.9622%;"/><col style="width: 7.79537%;"/><col style="width: 7.91717%;"/><col style="width: 7.30816%;"/></colgroup><tbody><tr><th class="confluenceTh">Module Model</th><th class="confluenceTh">Board Part Short Name</th><th class="confluenceTh">PCB Revision Support</th><th class="confluenceTh">DDR</th><th class="confluenceTh">QSPI Flash</th><th class="confluenceTh">EMMC</th><th class="confluenceTh">Others</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">TE0950-02-EGBE21A</td><td class="confluenceTd">23_1lse_8gb_es1</td><td class="confluenceTd">REV02</td><td class="confluenceTd">8GB</td><td class="confluenceTd">128MB</td><td class="confluenceTd">32GB</td><td class="confluenceTd">NA</td><td class="confluenceTd">NA</td></tr><tr><td class="confluenceTd">TE0950-03-EGBE21A*</td><td class="confluenceTd">23_1lse_8gb</td><td class="confluenceTd">REV03</td><td class="confluenceTd">8GB</td><td class="confluenceTd">128MB</td><td class="confluenceTd">32GB</td><td class="confluenceTd">NA</td><td class="confluenceTd">NA</td></tr></tbody></table></div><p class="auto-cursor-target"><sup>*</sup>used as reference</p></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Hardware Modules</strong></div></div></div></div><p><br/></p><p>Additional HW Requirements:</p><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Table_AHW"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Additional Hardware</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">USB Cable for JTAG/UART</td><td class="confluenceTd">Check Carrier Board and Programmer for correct type</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Additional Hardware</strong></div></div><h3 id="TE0950TestBoard-Content">Content</h3><p>For general structure and of the reference design, see <a href="https://wiki.trenz-electronic.de/display/PD/Project+Delivery+-+AMD+devices">Project Delivery - AMD devices</a></p><h4 id="TE0950TestBoard-DesignSources">Design Sources</h4><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Table_DS"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Type</th><th class="confluenceTh">Location</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">Vivado</td><td class="confluenceTd"><project folder>\block_design<br/><project folder>\constraints<br/><project folder>\ip_lib<br/><project folder>\board_files</td><td class="confluenceTd">Vivado Project will be generated by TE Scripts</td></tr><tr><td class="confluenceTd">Vitis</td><td class="confluenceTd"><project folder>\sw_lib</td><td class="confluenceTd">Additional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation</td></tr><tr><td class="confluenceTd">PetaLinux</td><td class="confluenceTd"><project folder>\os\petalinux</td><td class="confluenceTd">PetaLinux template with current configuration</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Design sources</strong></div></div><h4 id="TE0950TestBoard-AdditionalSources">Additional Sources</h4><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Table_ADS"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Type</th><th class="confluenceTh">Location</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">init.sh</td><td class="confluenceTd"><project folder>\misc\sd\</td><td class="confluenceTd">Additional Initialization Script for Linux</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Additional design sources</strong></div></div><h4 id="TE0950TestBoard-Prebuilt">Prebuilt</h4><br/><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Table_PF"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh"><p>File</p></th><th class="confluenceTh"><p>File-Extension</p></th><th class="confluenceTh"><p>Description</p></th></tr><tr><td class="confluenceTd">BIF-File</td><td class="confluenceTd">*.bif</td><td class="confluenceTd">File with description to generate Bin-File</td></tr><tr><td colspan="1" class="confluenceTd">BIN-File</td><td colspan="1" class="confluenceTd">*.bin</td><td colspan="1" class="confluenceTd">Flash Configuration File with Boot-Image (Versal-FPGAs)</td></tr><tr><td colspan="1" class="confluenceTd">BIT-File</td><td colspan="1" class="confluenceTd">*.pdi</td><td colspan="1" class="confluenceTd">FPGA Configuration File</td></tr><tr><td class="confluenceTd">Boot Script-File</td><td class="confluenceTd">*.scr</td><td class="confluenceTd"><p>Distro Boot Script file</p></td></tr><tr><td colspan="1" class="confluenceTd">DebugProbes-File</td><td colspan="1" class="confluenceTd">*.ltx</td><td colspan="1" class="confluenceTd">Definition File for Vivado/Vivado Labtools Debugging Interface</td></tr><tr><td colspan="1" class="confluenceTd">Diverse Reports</td><td colspan="1" class="confluenceTd">---</td><td colspan="1" class="confluenceTd">Report files in different formats</td></tr><tr><td colspan="1" class="confluenceTd">Hardware-Platform<span style="color: rgb(0,51,102);">-Description-F</span>ile</td><td colspan="1" class="confluenceTd">*.xsa</td><td colspan="1" class="confluenceTd">Exported Vivado<span style="color: rgb(0,51,102);"> hardware description file </span>for Vitis and PetaLinux</td></tr><tr><td colspan="1" class="confluenceTd">LabTools Project-File</td><td colspan="1" class="confluenceTd">*.lpr</td><td colspan="1" class="confluenceTd">Vivado Labtools Project File</td></tr><tr><td colspan="1" class="confluenceTd">OS-Image</td><td colspan="1" class="confluenceTd">*.ub</td><td colspan="1" class="confluenceTd">Image with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)</td></tr><tr><td colspan="1" class="confluenceTd">Software-Application-File</td><td colspan="1" class="confluenceTd">*.elf</td><td colspan="1" class="confluenceTd">Software Application for Zynq or MicroBlaze Processor Systems</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Prebuilt files (only on ZIP with prebuilt content)</strong></div></div><h4 id="TE0950TestBoard-Download">Download</h4><p>Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.</p><p>Reference Design is available on:</p><ul><li><a class="external-link" href="https://shop.trenz-electronic.de/de/Download/?path=Trenz_Electronic/Development_Boards/TE0950/Reference_Design/2023.2/test_board">TE0950 "Test Board" Reference Design</a></li></ul><h2 id="TE0950TestBoard-DesignFlow">Design Flow</h2><hr/><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.</p></div></div><p>Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.</p><p>See also:</p><ul><li><a href="https://wiki.trenz-electronic.de/display/PD/AMD+Development+Tools">AMD Development Tools#XilinxSoftware-BasicUserGuides</a></li><li><a href="https://wiki.trenz-electronic.de/display/PD/Vivado+Projects+-+TE+Reference+Design">Vivado Projects - TE Reference Design</a></li><li><a href="https://wiki.trenz-electronic.de/display/PD/Project+Delivery+-+AMD+devices">Project Delivery.</a></li></ul><p>The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.</p><p>TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: <a href="https://wiki.trenz-electronic.de/display/PD/Project+Delivery+-+AMD+devices#ProjectDeliveryAMDdevices-Currentlylimitationsoffunctionality">Project Delivery Currently limitations of functionality</a></p><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p><strong>Caution!</strong> Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")</p></div></div><ol><li><p class="auto-cursor-target">_create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:</p><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>_create_win_setup.cmd/_create_linux_setup.sh</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: bash; gutter: false; theme: Midnight" data-theme="Midnight">------------------------Set design paths----------------------------
-- Run Design with: _create_win_setup
-- Use Design Path: <absolute project path>
--------------------------------------------------------------------
-------------------------TE Reference Design---------------------------
--------------------------------------------------------------------
-- (0) Module selection guide, project creation...prebuilt export...
-- (1) Create minimum setup of CMD-Files and exit Batch
-- (2) Create maximum setup of CMD-Files and exit Batch
-- (3) (internal only) Dev
-- (4) (internal only) Prod
-- (c) Go to CMD-File Generation (Manual setup)
-- (d) Go to Documentation (Web Documentation)
-- (g) Install Board Files from Xilinx Board Store (beta)
-- (a) Start design with unsupported Vivado Version (beta)
-- (x) Exit Batch (nothing is done!)
----
Select (ex.:'0' for module selection guide):</pre>
</div></div></li><li>Press 0 and enter to start "Module Selection Guide"</li><li>Create<span style="color: rgb(0,0,0);"> </span>project and follow instructions of the product selection guide, settings file will be configured automatically during this process.<ul><li><p class="auto-cursor-target">optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"</p><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Note: Select correct one, see also <a href="https://wiki.trenz-electronic.de/display/PD/Vivado+Board+Part+Flow">Vivado Board Part Flow</a></p></div></div></li></ul></li><li><p class="auto-cursor-target">Create <span style="color: rgb(0,0,0);">hardware description file (.xsa file) for PetaLinux project a</span>nd export to prebuilt folder</p><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>run on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: py; gutter: false; theme: Midnight" data-theme="Midnight">TE::hw_build_design -export_prebuilt</pre>
</div></div><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Using Vivado GUI is the same, except file export to prebuilt folder.</p></div></div></li><li>Create and configure your PetaLinux project with exported .xsa-file, see <span style="color: rgb(0,0,0);"><a href="https://wiki.trenz-electronic.de/display/PD/PetaLinux+KICKstart">PetaLinux KICKstart</a></span><ul><li><span class="confluence-link">use TE Template from "<project folder>\os\petalinux"</span></li><li><p class="auto-cursor-target"><span class="confluence-link">use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . <strong>Note:</strong> HW Export from Vivado GUI cre<span style="color: rgb(0,0,0);">ates </span>another path as default workspace.</span></p></li></ul></li><li>Configure the <span style="color: rgb(0,0,0);"><strong>boot.scr </strong>file as needed, see <a href="https://wiki.trenz-electronic.de/display/PD/Distro+Boot+with+Boot.scr">Distro Boot with Boot.scr</a></span></li><li><span style="color: rgb(0,0,0);">Generate Programming Files with Vitis</span><ol><li><span style="color: rgb(0,0,0);">Copy PetaLinux build image files </span>to prebuilt folder<ol><li>copy <span style="color: rgb(0,0,0);"><strong>u-boot.elf, system.dtb, bl31.elf</strong>, <strong>image.ub</strong> and <strong>boot.scr</strong> from <span>"</span><span><plnx-proj-root>/<span class="highlight selected">images/linux"</span></span> to prebuilt folder<br/></span><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>"<span class="confluence-link"><project folder>\</span>prebuilt\os\petalinux\<ddr size>" or "<span class="confluence-link"><project folder></span>\prebuilt\os\petalinux\<short name>"</p></div></div><p class="auto-cursor-target"><span style="color: rgb(0,0,0);"><br/><br/></span></p></li></ol></li><li> Generate Programming Files<div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>run on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: py; gutter: false; theme: Midnight" data-theme="Midnight">TE::sw_run_vitis -all
TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)</pre>
</div></div><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>TCL scripts generate also platform project, this must be done manually in case GUI is used. See <a href="https://wiki.trenz-electronic.de/display/PD/Vitis">Vitis</a></p></div></div></li></ol></li><li>Generate Programming Files with Petalinux (alternative), see <span style="color: rgb(0,0,0);"><a href="https://wiki.trenz-electronic.de/display/PD/PetaLinux+KICKstart">PetaLinux KICKstart</a></span></li></ol><h2 id="TE0950TestBoard-Launch">Launch</h2><hr/><h3 id="TE0950TestBoard-Programming">Programming</h3><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Check Module and Carrier TRMs for proper HW configuration before you try any design.</p></div></div><p>Xilinx documentation for programming and debugging: <a href="https://wiki.trenz-electronic.de/display/PD/AMD+Development+Tools#AMDDevelopmentTools-AMDSoftwareProgrammingandDebugging">Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging</a></p><p>Note: Depending on Boot Mode settings, QSPI boot with Linux image on SD or complete SD Boot is possible.</p><h4 id="TE0950TestBoard-Getprebuiltbootbinaries">Get prebuilt boot binaries</h4><ol><li>_create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:</li><li>Press 0 and enter to start "Module Selection Guide"<ol><li>Select assembly version</li><li>Validate selection</li><li><p class="auto-cursor-target">Select create and open delivery binary folder</p><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Note: Folder "<project folder>/_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated</p></div></div></li></ol></li></ol><h4 id="TE0950TestBoard-QSPI-Bootmode">QSPI-Boot mode</h4><p style="margin-left: 30.0px;">Option for <strong>BOOT.bin</strong> on QSPI Flash and <strong>image.ub, dtbos (folder) </strong>and <strong>boot.scr</strong> on <strong>SD </strong>or<strong> USB</strong>.</p><ol><li>Connect <strong>JTAG</strong> and power on carrier with module</li><li><p class="auto-cursor-target">Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"</p><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>run on Vivado TCL (Script programs BOOT.bin on QSPI flash)</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: py; gutter: false; theme: Midnight" data-theme="Midnight">TE::pr_program_flash -swapp u-boot</pre>
</div></div><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>To program with Vitis/Vivado GUI, use special FSBL (fsbl_flash) on setup</p></div></div></li><li>Copy <strong>image.ub, dtbos (folder) </strong>and <strong>boot.scr</strong> on <strong>SD</strong> or <strong>USB</strong><br/><ul><li>use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder,see: <a href="#TE0950TestBoard-Getprebuiltbootbinaries">Get prebuilt boot binaries</a></li><li>or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"</li></ul></li><li>Set Boot Mode to <strong>QSPI-Boot</strong> and insert <strong>SD</strong> or <strong>USB</strong>.</li></ol><h4 id="TE0950TestBoard-SD-Bootmode">SD-Boot mode</h4><ol><li>Copy <strong>image.ub</strong><strong>, </strong><strong>boot.src, dtbos (folder)</strong> and <strong>BOOT.bin</strong> on <strong>SD</strong><br/><ul><li>use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder, see: <a href="#TE0950TestBoard-Getprebuiltbootbinaries">Get prebuilt boot binaries</a></li><li>or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"</li></ul></li><li>Set Boot Mode to SD-Boot.</li><li>Insert SD-Card in SD-Slot.</li></ol><h4 id="TE0950TestBoard-JTAG">JTAG</h4><p>Not used on this example.</p><h3 id="TE0950TestBoard-Usage">Usage</h3><ol><li>Prepare HW like described on section <a href="#TE0950TestBoard-Programming">Programming</a></li><li>Connect UART USB (most cases same as JTAG)</li><li><p class="auto-cursor-target">Select SD Card as Boot Mode (or QSPI - depending on step 1)</p><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Note: See TRM of the Carrier, which is used.</p></div></div><div class="confluence-information-macro confluence-information-macro-tip"><span class="aui-icon aui-icon-small aui-iconfont-approve confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.<br/>The boot options described above describe the common boot processes for this hardware; other boot options are possible.<br/>For more information see<a href="https://wiki.trenz-electronic.de/display/PD/Distro+Boot+with+Boot.scr"> <span style="color: rgb(0,0,0);">Distro Boot with Boot.scr</span></a></p></div></div></li><li><p class="auto-cursor-target">Power On PCB</p><div id="expander-1279648366" class="expand-container"><div role="heading" aria-level="6" id="expander-control-1279648366" class="expand-control"><button type="button" id="expand-button-1279648366" class="aui-button aui-button-link aui-button-link-icon-text" aria-expanded="true" aria-controls="expander-content-1279648366" ><span class="expand-icon aui-icon aui-icon-small aui-iconfont-chevron-down" aria-hidden="true"></span><span class="expand-control-text conf-macro-render">boot process</span></button></div><div role="region" id="expander-content-1279648366" class="expand-content" aria-labelledby="expand-button-1279648366"><p>1. Versal Boot ROM loads PLM from SD/QSPI into OCM,</p><p>2. PLM init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,</p><p>3. U-boot loads Linux (<strong>image.ub</strong>) from SD/QSPI/... into DDR</p></div></div></li></ol><h4 id="TE0950TestBoard-Linux">Linux</h4><ol><li>Open Serial Console <span class="folderdescr test"> (e.g. putty)</span><br/><ul><li>Speed: 115200</li><li><p class="auto-cursor-target">select COM Port</p><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Win OS, see device manager, Linux OS see <span class="folderdescr test">dmesg |grep tty (UART is *USB1)</span></p></div></div></li></ul></li><li><p class="auto-cursor-target"><span class="folderdescr test">Linux Console:<br/></span></p><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p><span class="folderdescr test">Note: Wait until Linux boot finished</span></p></div></div></li><li><p class="auto-cursor-target"><span class="folderdescr test">You can use Linux shell now.<br/></span></p><div class="code panel pdl" style="border-width: 1px;"><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: bash; gutter: false; theme: Midnight" data-theme="Midnight">i2cdetect -y -r 0 (check I2C 0 Bus)
dmesg | grep rtc (RTC check)
udhcpc (ETH0 check)
lsusb (USB check)</pre>
</div></div></li><li><p class="auto-cursor-target"><span class="folderdescr test">Opti</span><span class="folderdescr test">on Features</span></p><ul><li><span class="folderdescr test">init.sh scripts</span><ul><li><span class="folderdescr test">add init.sh script on SD, content will be load automatically on startup (template included in "<project folder>\misc\SD") </span></li></ul></li></ul></li></ol><p><br/></p><h4 id="TE0950TestBoard-VivadoHWManager"><span class="folderdescr test">Vivado HW Manager</span><span class="folderdescr test"> </span></h4><p><br/></p><p><br/></p><p><br/></p><p><br/></p><h2 id="TE0950TestBoard-SystemDesign-Vivado">System Design - Vivado</h2><hr/><h3 id="TE0950TestBoard-BlockDesign">Block Design</h3><h4 id="TE0950TestBoard-PCBREV03">PCB REV03</h4><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Figure_BD"></span><div class="scroll-title-body"><p><span class="confluence-embedded-file-wrapper"><img class="confluence-embedded-image" draggable="false" src="https://wiki.trenz-electronic.de/download/attachments/179809768/bd_test_board_hier_top_2.jpg?version=1&modificationDate=1706883103036&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/179809768/bd_test_board_hier_top_2.jpg?version=1&modificationDate=1706883103036&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="216925931" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="bd_test_board_hier_top_2.jpg" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/jpeg" data-linked-resource-container-id="179809768" data-linked-resource-container-version="51" alt=""></span></p></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Block Design PCB REV03</strong></div></div><h4 id="TE0950TestBoard-PSInterfaces">PS Interfaces</h4><p>Activated interfaces:</p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Type</th><th class="confluenceTh">Note</th></tr><tr><td class="confluenceTd">DDR</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">QSPI</td><td class="confluenceTd">MIO</td></tr><tr><td class="confluenceTd">SD0/eMMC</td><td class="confluenceTd">MIO</td></tr><tr><td class="confluenceTd">SD1/SD2.0</td><td class="confluenceTd">MIO</td></tr><tr><td class="confluenceTd">PMC_I2C</td><td class="confluenceTd">MIO</td></tr><tr><td colspan="1" class="confluenceTd">UART1</td><td colspan="1" class="confluenceTd">MIO</td></tr><tr><td colspan="1" class="confluenceTd">LPD_IC20</td><td colspan="1" class="confluenceTd">EMIO</td></tr><tr><td colspan="1" class="confluenceTd">LPD_IC21</td><td colspan="1" class="confluenceTd">MIO</td></tr><tr><td colspan="1" class="confluenceTd">TTC0..3</td><td colspan="1" class="confluenceTd"><br/></td></tr><tr><td colspan="1" class="confluenceTd">GEM0</td><td colspan="1" class="confluenceTd">MIO</td></tr><tr><td colspan="1" class="confluenceTd">USB0</td><td colspan="1" class="confluenceTd">MIO, USB2.0</td></tr></tbody></table></div><p><br/></p><h3 id="TE0950TestBoard-Constrains">Constrains</h3><h4 id="TE0950TestBoard-Designspecificconstraints">Design specific constraints</h4><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>_i_io.xdc</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: ruby; gutter: false; theme: Confluence" data-theme="Confluence"># CRUVI LOW SPEED 1
set_property PACKAGE_PIN C12 [get_ports {C_LS1_tri_io[7]}]; #C_LS1_SDA
set_property PACKAGE_PIN A11 [get_ports {C_LS1_tri_io[6]}]; #C_LS1_SCL
set_property PACKAGE_PIN B11 [get_ports {C_LS1_tri_io[5]}]; #C_LS1_D3
set_property PACKAGE_PIN B10 [get_ports {C_LS1_tri_io[4]}]; #C_LS1_D2
set_property PACKAGE_PIN C10 [get_ports {C_LS1_tri_io[3]}]; #C_LS1_D1
set_property PACKAGE_PIN D10 [get_ports {C_LS1_tri_io[2]}]; #C_LS1_D0
set_property PACKAGE_PIN D11 [get_ports {C_LS1_tri_io[1]}]; #C_LS1_SCK
set_property PACKAGE_PIN A10 [get_ports {C_LS1_tri_io[0]}]; #C_LS1_SEL
set_property IOSTANDARD LVCMOS33 [get_ports {C_LS1_tri_io*}]
# CRUVI LOW SPEED 2
set_property PACKAGE_PIN E12 [get_ports {C_LS2_tri_io[7]}]; #C_LS2_SDA
set_property PACKAGE_PIN F14 [get_ports {C_LS2_tri_io[6]}]; #C_LS2_SCL
set_property PACKAGE_PIN E13 [get_ports {C_LS2_tri_io[5]}]; #C_LS2_D3
set_property PACKAGE_PIN D14 [get_ports {C_LS2_tri_io[4]}]; #C_LS2_D2
set_property PACKAGE_PIN C14 [get_ports {C_LS2_tri_io[3]}]; #C_LS2_D1
set_property PACKAGE_PIN D12 [get_ports {C_LS2_tri_io[2]}]; #C_LS2_D0
set_property PACKAGE_PIN C13 [get_ports {C_LS2_tri_io[1]}]; #C_LS2_SCK
set_property PACKAGE_PIN E14 [get_ports {C_LS2_tri_io[0]}]; #C_LS2_SEL
set_property IOSTANDARD LVCMOS33 [get_ports {C_LS2_tri_io*}]
set_property PACKAGE_PIN A13 [get_ports CSI_scl_io]; #CSI_SCL
set_property PACKAGE_PIN B13 [get_ports CSI_sda_io]; #CSI_SDA
set_property IOSTANDARD LVCMOS33 [get_ports CSI_*]
#B302 HD
set_property PACKAGE_PIN F11 [get_ports {CSI_GPIO_tri_io[0]}]; #CSI_GPIO0
set_property PACKAGE_PIN E11 [get_ports {CSI_GPIO_tri_io[1]}]; #CSI_GPIO1
set_property IOSTANDARD LVCMOS33 [get_ports {CSI_GPIO_tri_io*}]
set_property PACKAGE_PIN B12 [get_ports {USR_tri_io[1]}]; #V_USR_LED1
set_property PACKAGE_PIN A14 [get_ports {USR_tri_io[0]}]; #V_PL_USR_SW
set_property IOSTANDARD LVCMOS33 [get_ports {USR_tri_io*}]
### CRUVI HS1 ######
set_property IOSTANDARD DIFF_HSTL_I_12 [get_ports {C_HS1_P[*]}]
set_property PACKAGE_PIN D27 [get_ports {C_HS1_P[11]}]; #HS1_B5
set_property PACKAGE_PIN G27 [get_ports {C_HS1_P[10]}]; #HS1_B4
set_property PACKAGE_PIN H27 [get_ports {C_HS1_P[9]}]; #HS1_B3
set_property PACKAGE_PIN J27 [get_ports {C_HS1_P[8]}]; #HS1_B2
set_property PACKAGE_PIN C25 [get_ports {C_HS1_P[7]}]; #HS1_B1
set_property PACKAGE_PIN F23 [get_ports {C_HS1_P[6]}]; #HS1_B0
set_property PACKAGE_PIN A20 [get_ports {C_HS1_P[5]}]; #HS1_A5
set_property PACKAGE_PIN E27 [get_ports {C_HS1_P[4]}]; #HS1_A4
set_property PACKAGE_PIN C22 [get_ports {C_HS1_P[3]}]; #HS1_A3
set_property PACKAGE_PIN A23 [get_ports {C_HS1_P[2]}]; #HS1_A2
set_property PACKAGE_PIN A25 [get_ports {C_HS1_P[1]}]; #HS1_A1
set_property PACKAGE_PIN B26 [get_ports {C_HS1_P[0]}]; #HS1_A0
#C27 HS1_HSO
#B28 HS1_HSI
#D24 HS1_HSRST
#D26 HS1_HSMIO
### CRUVI HS2 ######
set_property IOSTANDARD DIFF_HSTL_I_12 [get_ports {C_HS2_P[*]}]
set_property PACKAGE_PIN C23 [get_ports {C_HS2_P[7]}]; #HS2_B5
set_property PACKAGE_PIN E22 [get_ports {C_HS2_P[6]}]; #HS2_B4
set_property PACKAGE_PIN F22 [get_ports {C_HS2_P[5]}]; #HS2_B3
# set_property PACKAGE_PIN H23 [get_ports {C_HS2_P[8]}]; #HS2_B2 not used for loopback test
set_property PACKAGE_PIN B20 [get_ports {C_HS2_P[4]}]; #HS2_B1
set_property PACKAGE_PIN D20 [get_ports {C_HS2_P[3]}]; #HS2_A5
set_property PACKAGE_PIN D24 [get_ports {C_HS2_P[2]}]; #HS2_A4
set_property PACKAGE_PIN G21 [get_ports {C_HS2_P[1]}]; #HS2_A3
set_property PACKAGE_PIN E20 [get_ports {C_HS2_P[0]}]; #HS2_A1
#E24 HS2_HSMIO
#F25 HS2_HSO
set_property IOSTANDARD DIFF_HSTL_I_12 [get_ports {C_HS2_P[*]}]
#### ARTIX ################
set_property PACKAGE_PIN U23 [get_ports {C2C_RX_CLK}]; #U23 V_L12_P
#T24 V_L12_N
set_property PACKAGE_PIN T23 [get_ports {A_IIC_SCL_O}]; # T23 V_L13_P
set_property PACKAGE_PIN R24 [get_ports {A_IIC_SDA_I}]; # R24 V_L13_N
set_property PACKAGE_PIN R23 [get_ports {A_IIC_SDA_O}]; # R23 V_L14_P
set_property PACKAGE_PIN P24 [get_ports {C2C_TX[0]}]; #P24 V_L14_N
set_property PACKAGE_PIN M22 [get_ports {C2C_TX[1]}]; #M22 V_L15_P
set_property PACKAGE_PIN M23 [get_ports {C2C_TX[2]}]; #M23 V_L15_N
set_property PACKAGE_PIN L23 [get_ports {C2C_TX[3]}]; #L23 V_L16_P
set_property PACKAGE_PIN K24 [get_ports {C2C_TX[4]}]; #K24 V_L16_N
set_property PACKAGE_PIN K23 [get_ports {C2C_TX[5]}]; #K23 V_L17_P
set_property PACKAGE_PIN J24 [get_ports {C2C_TX[6]}]; #J24 V_L17_N
set_property PACKAGE_PIN V21 [get_ports {C2C_TX[7]}]; #V21 V_L18_P
set_property PACKAGE_PIN U22 [get_ports {C2C_TX[8]}]; #U22 V_L18_N
set_property PACKAGE_PIN T21 [get_ports {C2C_RX[0]}]; #T21 V_L19_P
set_property PACKAGE_PIN R22 [get_ports {C2C_RX[1]}]; #R22 V_L19_N
set_property PACKAGE_PIN R21 [get_ports {C2C_RX[2]}]; #R21 V_L20_P
set_property PACKAGE_PIN P22 [get_ports {C2C_RX[3]}]; #P22 V_L20_N
set_property PACKAGE_PIN N21 [get_ports {C2C_RX[4]}]; #N21 V_L21_P
set_property PACKAGE_PIN M21 [get_ports {C2C_RX[5]}]; #M21 V_L21_N
set_property PACKAGE_PIN K21 [get_ports {C2C_TX_CLK}];#K21 V_L22_P
#L22 V_L22_N
set_property PACKAGE_PIN J21 [get_ports {C2C_RX[8]}]; #J21 V_L23_P
set_property PACKAGE_PIN J22 [get_ports {C2C_RST}]; #J22 V_L23_N
set_property PACKAGE_PIN L24 [get_ports {C2C_RX[6]}]; #L24 V_L25_P
set_property PACKAGE_PIN L25 [get_ports {C2C_RX[7]}]; #L25 V_L25_N
set_property IOSTANDARD LVCMOS12 [get_ports {C2C_*}]
#N23 CLK_B702_P
#N24 CLK_B702_N
set_property IOSTANDARD LVCMOS12 [get_ports {A_IIC_*}]</pre>
</div></div><h2 id="TE0950TestBoard-SoftwareDesign-Vitis">Software Design - Vitis</h2><hr/><p>For Vitis project creation, follow instructions from:</p><p><a href="https://wiki.trenz-electronic.de/display/PD/Vitis">Vitis</a></p><h3 id="TE0950TestBoard-Application">Application</h3>Template location: "<project folder>\sw_lib\sw_apps\"<h4 id="TE0950TestBoard-versal_plm">versal_plm</h4><p>Xilinx default PLM firmware.</p><h4 id="TE0950TestBoard-versal_psm">versal_psm</h4><p>Xilinx default PSM firmware.</p><h4 id="TE0950TestBoard-hello_te0950.1">hello_te0950</h4><p>Hello TE0950 is a Xilinx Hello World example as endless loop instead of one console output.</p><h4 id="TE0950TestBoard-u-boot.1">u-boot</h4><p>U-Boot.elf is generated with PetaLinux. Vitis is used to generate BOOT.bin.</p><h2 id="TE0950TestBoard-SoftwareDesign-PetaLinux">Software Design - PetaLinux</h2><hr/><p>For PetaLinux installation and project creation, follow instructions from:</p><ul><li><a href="https://wiki.trenz-electronic.de/display/PD/PetaLinux+KICKstart">PetaLinux KICKstart</a></li></ul><h3 id="TE0950TestBoard-Config">Config</h3><p>Start with <strong>petalinux-config</strong> or <strong>petalinux-config --get-hw-description</strong></p><p>Changes:</p><ul><li>Identification<ul><li>CONFIG_SUBSYSTEM_HOSTNAME="Trenz"</li><li>CONFIG_SUBSYSTEM_PRODUCT="TE0950"</li></ul></li><li>Devicetree Overlays for Cameras and Artix Chip2Chip bridge (GPIO Controller)<ul><li>CONFIG_SUBSYSTEM_EXTRA_DT_FILES="imx219-overlay.dtsi imx290-overlay.dtsi artix-overlay.dtsi ov5647-overlay.dtsi"</li></ul></li></ul><h3 id="TE0950TestBoard-U-Boot">U-Boot</h3><p>Start with <strong>petalinux-config</strong><strong> -c u-boot</strong><strong><br/></strong>Changes:</p><ul><li>read MAC from eeprom:<br/><ul><li><p>CONFIG_DM_RTC=y<br/>CONFIG_NVMEM=y</p></li></ul></li></ul><h3 id="TE0950TestBoard-FixesforBL31(Petalinux2023.2Bug)">Fixes for BL31 (Petalinux 2023.2 Bug)</h3><p><span class="uiOutputText">create</span><strong><span class="uiOutputText"> arm-trusted-firmware_%.bbappend</span></strong><span class="uiOutputText" style="color: rgb(51,51,51);"><span> </span>in meta-user/recipes-bsp/arm-trusted-firmware with content</span></p><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b> meta-user/recipes-bsp/arm-trusted-firmware/arm-trusted-firmware_%.bbappend</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: java; gutter: false; theme: Confluence" data-theme="Confluence">ATF_CONSOLE = "pl011_1"</pre>
</div></div><p><br/></p><h3 id="TE0950TestBoard-DeviceTree">Device Tree</h3><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>project-spec\meta-user\recipes-bsp\device-tree\files\system-user.dtsi</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: js; gutter: false; theme: Confluence" data-theme="Confluence">/include/ "system-conf.dtsi"
#include <dt-bindings/gpio/gpio.h>
/*------------------ SD --------------------*/
&sdhci1 {
no-1-8-v;
};
/*------------------ QSPI --------------------*/
&qspi {
num-cs = <2>;
flash@0 {
compatible = "jedec,spi-nor";
reg = <0>, <1>;
parallel-memories = /bits/ 64 <0x8000000 0x8000000>; /* 128MB */
spi-rx-bus-width = <4>;
spi-tx-bus-width = <4>;
spi-max-frequency = <40000000>; //40MHz no feedback pin
#address-cells = <1>;
#size-cells = <1>;
};
};
/*------------------ ETH PHY --------------------*/
&gem0 {
phy-handle = <&phy0>;
nvmem-cells = <&eth0_addr>;
nvmem-cell-names = "mac-address";
//required otherwise petalinux gives a static address here
/delete-property/ local-mac-address;
mdio {
phy0: phy0@1 {
device_type = "ethernet-phy";
reg = <1>;
//only needed because of reset-gpios present
compatible = "ethernet-phy-id0141.0DD1"; //uboot: [mii read 1 2].[mii read 1 3]
reset-names = "ETH_RESET";
reset-gpios = <&gpio0 23 GPIO_ACTIVE_LOW>;
reset-assert-us = <10000>; //minimum duration according to datasheet 10ms
reset-deassert-us = <2000>;
};
};
};
/*------------------ GPIO MISC --------------------*/
&gpio0 {
gpio-line-names =
"", "", "", "", "", "", "", "", "", "",
"", "", "", "", "", "", "", "", "", "",
"", "", "LPD_MIO22", "";
};
&gpio1 {
gpio-line-names =
"", "", "", "", "", "", "", "", "", "",
"", "", "", "", "", "", "", "", "", "",
"", "", "", "", "", "", "", "PMC_MIO27", "", "",
"", "", "", "", "", "", "", "USB_OC", "", "",
"", "", "", "", "", "", "", "", "", "",
"", "LED0", "", "", "", "", "", "", "", "";
};
/*------------------ MIPI CSI2 --------------------*/
&mipi_csi2_axi_gpio_2 {
gpio-line-names = "CSI_GPIO0", "CSI_GPIO1";
};
&axi_gpio_2 {
gpio-line-names = "V_PL_USR_SW", "V_USR_LED1";
};
&mipi_csi2_mipi_csi2_rx_subsystem_0 {
status = "disabled";
compatible = "xlnx,mipi-csi2-rx-subsystem-5.0";
};
&mipi_csi2_v_frmbuf_wr_0 {
status = "disabled";
};
&mipi_csi2_v_proc_ss_csc {
status = "disabled";
compatible = "xlnx,v-vpss-csc";
};
&mipi_csi2_v_proc_ss_scaler {
status = "disabled";
compatible = "xlnx,v-vpss-scaler-2.2";
};
&mipi_csi_inmipi_csi2_mipi_csi2_rx_subsystem_0 {
clock-lanes = <0>;
data-lanes = <1 2>;
};
&mipi_csi2_v_demosaic_0 {
status = "disabled";
reset-gpios = <&mipi_csi2_axi_gpio_3 3 GPIO_ACTIVE_LOW>;
};
/*------------------ USB --------------------*/
&dwc3_0 {
dr_mode = "host";
};
/*------------------ I2C --------------------*/
&i2c0 {
i2cswitch@70 { // Artix I2C MUX Emulations
compatible = "nxp,pca9548";
#address-cells = <1>;
#size-cells = <0>;
reg = <0x70>;
i2c-mux-idle-disconnect;
i2c_cruvi_hs1: i2c@0 { // CRUVI HS1 IIC
reg = <0>;
};
i2c_cruvi_hs2: i2c@1 { // CRUVI HS2IIC
reg = <1>;
};
i2c_qsfp: i2c@2 { // QSFP IIC
reg = <2>;
};
i2c_fmc: i2c@3 { // FMC IIC
reg = <3>;
};
};
};
&i2c2 {
status = "okay";
eeprom: eeprom@50 {
compatible = "microchip,24aa025", "atmel,24c02";
reg = <0x50>;
#address-cells = <1>;
#size-cells = <1>;
eth0_addr: eth-mac-addr@FA {
reg = <0xFA 0x06>;
};
};
};
</pre>
</div></div><h3 id="TE0950TestBoard-Kernel">Kernel</h3><p>Start with <strong>petalinux-config</strong><strong> -c kernel</strong></p><p>Changes:</p><ul><li style="list-style-type: none;"><ul><li><p>Support for Video devices (the specific models are examplary devices that were tested)</p><ul><li><p>CONFIG_VIDEO_DEV=y<br/>CONFIG_VIDEO_OV5647=y<br/>CONFIG_VIDEO_IMX290=y<br/>CONFIG_VIDEO_IMX219=y<br/>CONFIG_VIDEO_XILINX_TPG=y</p></li></ul></li></ul></li></ul><h3 id="TE0950TestBoard-Rootfs">Rootfs</h3><p>Start with <strong>petalinux-config</strong><strong> -c rootfs</strong></p><ul><li>For MIPI Camera/Video tools<ul><li>CONFIG_yavta=y</li><li>CONFIG_packagegroup-petalinux-gstreamer=y</li><li>CONFIG_packagegroup-petalinux-v4lutils=y</li></ul></li><li>Misc Apps:<ul><li>CONFIG_libgpiod-tools=y</li><li>CONFIG_mipi-example=y</li><li>CONFIG_startup=y</li></ul></li><li>For additional test tools:<ul><li>CONFIG_packagegroup-petalinux-utils=y</li><li>CONFIG_packagegroup-petalinux-benchmarks=y</li></ul></li><li>Dropbear instead of OpenSSH<ul><li>CONFIG_packagegroup-core-ssh-dropbear=y</li></ul></li><li>For auto login:<ul><li>CONFIG_imagefeature-serial-autologin-root=y</li><li>CONFIG_imagefeature-debug-tweaks=y</li><li>CONFIG_imagefeature-empty-root-password=y</li><li>CONFIG_ADD_EXTRA_USERS="root:root;petalinux:petalinux;"</li></ul></li></ul><h3 id="TE0950TestBoard-Applications">Applications</h3><p>See "<project folder>\os\petalinux\project-spec\meta-user\recipes-apps\"</p><h4 id="TE0950TestBoard-startup">startup</h4>Script App to load init.sh from SD Card if available.<br/><h4 id="TE0950TestBoard-cam-setup">cam-setup</h4><p>The Versal design contains a Video Processing Pipeline for Cameras connected via the MIPI CSI-2 Interface.</p><p><strong>cam-setup.sh</strong> is a demo application to configure the Video Pipeline it is installed into the Path, and can be called from anywhere.</p><p>The Reference Design was tested and includes drivers and devicetree overlays for the following Camera Models:</p><ul><li>Raspberry Pi 2.1 Camera (<span style="color: rgb(107,106,106);">IMX219 Sensor</span>)</li><li>Raspberry Pi 1.3 Camera (OV5647 Sensor)</li><li>Vision Components VK000435 Camera (IMX290 Sensor)</li></ul><p>The Script can currently be used to either take a screenshot or start a MJPEG-encoded video stream via Ethernet. For all parameters call<strong> cam-setup.sh -h</strong></p><p>The script cam-setup.sh can be modified to adjust resolution or other parameters.</p><p><strong>Example</strong></p><p>DTBO_PATH=<strong><em>[path to dtbo folder, normally /run/media/[naming]-mmcblk1p1]</em> </strong>cam-setup.sh -m rpi21 -o video</p><p><br/></p><p>This stream can then be viewed e.g. by opening VLC on the network stream:</p><p>tcp://[board_ip]:5001</p><p><br/></p><h2 id="TE0950TestBoard-Appx.A:ChangeHistoryandLegalNotices">Appx. A: Change History and Legal Notices</h2><hr/><h3 id="TE0950TestBoard-DocumentChangeHistory">Document Change History</h3><p>To get content of older revision got to "Change History" of this page and select older document revision number.</p><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0950TestBoard-Table_dch"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col style="width: 297.0px;"/><col style="width: 268.0px;"/><col style="width: 216.0px;"/><col style="width: 296.0px;"/></colgroup><tbody><tr><th class="confluenceTh">Date</th><th class="confluenceTh">Document Revision</th><th class="confluenceTh">Authors</th><th class="confluenceTh">Description</th></tr><tr><td class="confluenceTd"><div class="content-wrapper"><p><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></div></td><td class="confluenceTd"><div class="content-wrapper"><p><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></div></td><td class="confluenceTd"><div class="content-wrapper"><p><span class="confluence-link confluence-userlink user-mention current-user-mention"><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div><br/></span></p></div></td><td class="confluenceTd"><ul><li>fixed dual parallel QSPI access from Linux/U-boot</li><li>added small notes for cam-setup</li></ul></td></tr><tr><td class="confluenceTd"><div class="content-wrapper"><p><span style="color: rgb(66,82,110);">2024-03-27</span></p></div></td><td class="confluenceTd"><div class="content-wrapper"><p>v.47</p></div></td><td class="confluenceTd"><div class="content-wrapper"><p><span class="confluence-link confluence-userlink user-mention current-user-mention"><a class="user-item conf-macro output-block" href="https://wiki.trenz-electronic.de/display/~M.Kirberg" style="text-align: left;">Markus Kirberg</a></span></p></div></td><td class="confluenceTd"><ul><li>2023.2 update</li><li>new assembly variants</li></ul></td></tr><tr><td class="confluenceTd">2023-08-01</td><td class="confluenceTd">v.1</td><td class="confluenceTd"><div class="content-wrapper"><p><span class="confluence-link confluence-userlink user-mention current-user-mention"><a class="user-item conf-macro output-block" href="https://wiki.trenz-electronic.de/display/~M.Kirberg" style="text-align: left;">Markus Kirberg</a></span></p></div></td><td class="confluenceTd">Initial release</td></tr><tr><td class="confluenceTd"><br/></td><td class="confluenceTd">All</td><td class="confluenceTd"><div class="content-wrapper"><p><span class="confluence-link confluence-userlink user-mention current-user-mention"><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></span></p></div></td><td class="confluenceTd"><br/></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Document change history.</strong></div></div><h3 id="TE0950TestBoard-LegalNotices">Legal Notices</h3><p><h3 id="TE0950TestBoard-DataPrivacy">Data Privacy</h3><p>Please also note our data protection declaration at <a class="external-link" href="https://www.trenz-electronic.de/en/Data-protection-Privacy">https://www.trenz-electronic.de/en/Data-protection-Privacy</a></p><h3 id="TE0950TestBoard-DocumentWarranty">Document Warranty</h3><p>The material contained in this document is provided “as is” and is subject to being changed at any time without notice. Trenz Electronic does not warrant the accuracy and completeness of the materials in this document. Further, to the maximum extent permitted by applicable law, Trenz Electronic disclaims all warranties, either express or implied, with regard to this document and any information contained herein, including but not limited to the implied warranties of merchantability, fitness for a particular purpose or non infringement of intellectual property. Trenz Electronic shall not be liable for errors or for incidental or consequential damages in connection with the furnishing, use, or performance of this document or of any information contained herein.</p><h3 class="western" id="TE0950TestBoard-LimitationofLiability">Limitation of Liability</h3><p>In no event will Trenz Electronic, its suppliers, or other third parties mentioned in this document be liable for any damages whatsoever (including, without limitation, those resulting from lost profits, lost data or business interruption) arising out of the use, inability to use, or the results of use of this document, any documents linked to this document, or the materials or information contained at any or all such documents. If your use of the materials or information from this document results in the need for servicing, repair or correction of equipment or data, you assume all costs thereof.</p><h3 class="western" id="TE0950TestBoard-CopyrightNotice">Copyright Notice</h3><p>No part of this manual may be reproduced in any form or by any means (including electronic storage and retrieval or translation into a foreign language) without prior agreement and written consent from Trenz Electronic.</p><h3 class="western" id="TE0950TestBoard-TechnologyLicenses">Technology Licenses</h3><p>The hardware / firmware / software described in this document are furnished under a license and may be used /modified / copied only in accordance with the terms of such license.</p><h3 class="western" id="TE0950TestBoard-EnvironmentalProtection">Environmental Protection</h3><p>To confront directly with the responsibility toward the environment, the global community and eventually also oneself. Such a resolution should be integral part not only of everybody's life. Also enterprises shall be conscious of their social responsibility and contribute to the preservation of our common living space. That is why Trenz Electronic invests in the protection of our Environment.</p><p><span class="scroll-pi" type="pagebreak" style="display:none;"></span></p><h3 class="western" id="TE0950TestBoard-REACH,RoHSandWEEE">REACH, RoHS and WEEE</h3><p><strong>REACH</strong></p><p>Trenz Electronic is a manufacturer and a distributor of electronic products. It is therefore a so called downstream user in the sense of <a class="external-link" href="http://guidance.echa.europa.eu/">REACH</a>. The products we supply to you are solely non-chemical products (goods). Moreover and under normal and reasonably foreseeable circumstances of application, the goods supplied to you shall not release any substance. For that, Trenz Electronic is obliged to neither register nor to provide safety data sheet. According to present knowledge and to best of our knowledge, no <a class="external-link" href="https://echa.europa.eu/candidate-list-table">SVHC (Substances of Very High Concern) on the Candidate List</a> are contained in our products. Furthermore, we will immediately and unsolicited inform our customers in compliance with REACH - Article 33 if any substance present in our goods (above a concentration of 0,1 % weight by weight) will be classified as SVHC by the <a class="external-link" href="http://www.echa.europa.eu/">European Chemicals Agency (ECHA)</a>.</p><p class="western"><strong>RoHS</strong></p><p>Trenz Electronic GmbH herewith declares that all its products are developed, manufactured and distributed RoHS compliant.</p><p class="western"><strong>WEEE</strong></p><p>Information for users within the European Union in accordance with Directive 2002/96/EC of the European Parliament and of the Council of 27 January 2003 on waste electrical and electronic equipment (WEEE).</p><p>Users of electrical and electronic equipment in private households are required not to dispose of waste electrical and electronic equipment as unsorted municipal waste and to collect such waste electrical and electronic equipment separately. By the 13 August 2005, Member States shall have ensured that systems are set up allowing final holders and distributors to return waste electrical and electronic equipment at least free of charge. Member States shall ensure the availability and accessibility of the necessary collection facilities. Separate collection is the precondition to ensure specific treatment and recycling of waste electrical and electronic equipment and is necessary to achieve the chosen level of protection of human health and the environment in the European Union. Consumers have to actively contribute to the success of such collection and the return of waste electrical and electronic equipment. Presence of hazardous substances in electrical and electronic equipment results in potential effects on the environment and human health. The symbol consisting of the crossed-out wheeled bin indicates separate collection for waste electrical and electronic equipment.</p><p style="margin-left: 0.0px;">Trenz Electronic is registered under WEEE-Reg.-Nr. DE97922676.</p><p style="margin-left: 0.0px;"><br/></p><p style="margin-left: 0.0px;"><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></p><p><br/></p></div>
</div>
<div class="cell aside" data-type="aside">
<div class="innerCell">
<p><br/>
<div class="fixed">
<h2 id="TE0950TestBoard-Tableofcontents">Table of contents</h2><p class="auto-cursor-target"><style type="text/css">/*<![CDATA[*/
div.rbtoc1711702106569 {padding: 0px;}
div.rbtoc1711702106569 ul {margin-left: 0px;}
div.rbtoc1711702106569 li {margin-left: 0px;padding-left: 0px;}
/*]]>*/</style></p><div class="toc-macro rbtoc1711702106569">
<ul class="toc-indentation">
<li><span class="TOCOutline">1</span> <a href="#TE0950TestBoard-Overview">Overview</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">1.1</span> <a href="#TE0950TestBoard-KeyFeatures">Key Features</a></li>
<li><span class="TOCOutline">1.2</span> <a href="#TE0950TestBoard-RevisionHistory">Revision History</a></li>
<li><span class="TOCOutline">1.3</span> <a href="#TE0950TestBoard-ReleaseNotesandKnowIssues">Release Notes and Know Issues</a></li>
<li><span class="TOCOutline">1.4</span> <a href="#TE0950TestBoard-Requirements">Requirements</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">1.4.1</span> <a href="#TE0950TestBoard-Software">Software</a></li>
<li><span class="TOCOutline">1.4.2</span> <a href="#TE0950TestBoard-Hardware">Hardware</a></li>
</ul>
</li>
<li><span class="TOCOutline">1.5</span> <a href="#TE0950TestBoard-Content">Content</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">1.5.1</span> <a href="#TE0950TestBoard-DesignSources">Design Sources</a></li>
<li><span class="TOCOutline">1.5.2</span> <a href="#TE0950TestBoard-AdditionalSources">Additional Sources</a></li>
<li><span class="TOCOutline">1.5.3</span> <a href="#TE0950TestBoard-Prebuilt">Prebuilt</a></li>
<li><span class="TOCOutline">1.5.4</span> <a href="#TE0950TestBoard-Download">Download</a></li>
</ul>
</li>
</ul>
</li>
<li><span class="TOCOutline">2</span> <a href="#TE0950TestBoard-DesignFlow">Design Flow</a></li>
<li><span class="TOCOutline">3</span> <a href="#TE0950TestBoard-Launch">Launch</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">3.1</span> <a href="#TE0950TestBoard-Programming">Programming</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">3.1.1</span> <a href="#TE0950TestBoard-Getprebuiltbootbinaries">Get prebuilt boot binaries</a></li>
<li><span class="TOCOutline">3.1.2</span> <a href="#TE0950TestBoard-QSPI-Bootmode">QSPI-Boot mode</a></li>
<li><span class="TOCOutline">3.1.3</span> <a href="#TE0950TestBoard-SD-Bootmode">SD-Boot mode</a></li>
<li><span class="TOCOutline">3.1.4</span> <a href="#TE0950TestBoard-JTAG">JTAG</a></li>
</ul>
</li>
<li><span class="TOCOutline">3.2</span> <a href="#TE0950TestBoard-Usage">Usage</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">3.2.1</span> <a href="#TE0950TestBoard-Linux">Linux</a></li>
<li><span class="TOCOutline">3.2.2</span> <a href="#TE0950TestBoard-VivadoHWManager">Vivado HW Manager</a></li>
</ul>
</li>
</ul>
</li>
<li><span class="TOCOutline">4</span> <a href="#TE0950TestBoard-SystemDesign-Vivado">System Design - Vivado</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">4.1</span> <a href="#TE0950TestBoard-BlockDesign">Block Design</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">4.1.1</span> <a href="#TE0950TestBoard-PCBREV03">PCB REV03</a></li>
<li><span class="TOCOutline">4.1.2</span> <a href="#TE0950TestBoard-PSInterfaces">PS Interfaces</a></li>
</ul>
</li>
<li><span class="TOCOutline">4.2</span> <a href="#TE0950TestBoard-Constrains">Constrains</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">4.2.1</span> <a href="#TE0950TestBoard-Designspecificconstraints">Design specific constraints</a></li>
</ul>
</li>
</ul>
</li>
<li><span class="TOCOutline">5</span> <a href="#TE0950TestBoard-SoftwareDesign-Vitis">Software Design - Vitis</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">5.1</span> <a href="#TE0950TestBoard-Application">Application</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">5.1.1</span> <a href="#TE0950TestBoard-versal_plm">versal_plm</a></li>
<li><span class="TOCOutline">5.1.2</span> <a href="#TE0950TestBoard-versal_psm">versal_psm</a></li>
<li><span class="TOCOutline">5.1.3</span> <a href="#TE0950TestBoard-hello_te0950.1">hello_te0950</a></li>
<li><span class="TOCOutline">5.1.4</span> <a href="#TE0950TestBoard-u-boot.1">u-boot</a></li>
</ul>
</li>
</ul>
</li>
<li><span class="TOCOutline">6</span> <a href="#TE0950TestBoard-SoftwareDesign-PetaLinux">Software Design - PetaLinux</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">6.1</span> <a href="#TE0950TestBoard-Config">Config</a></li>
<li><span class="TOCOutline">6.2</span> <a href="#TE0950TestBoard-U-Boot">U-Boot</a></li>
<li><span class="TOCOutline">6.3</span> <a href="#TE0950TestBoard-FixesforBL31(Petalinux2023.2Bug)">Fixes for BL31 (Petalinux 2023.2 Bug)</a></li>
<li><span class="TOCOutline">6.4</span> <a href="#TE0950TestBoard-DeviceTree">Device Tree</a></li>
<li><span class="TOCOutline">6.5</span> <a href="#TE0950TestBoard-Kernel">Kernel</a></li>
<li><span class="TOCOutline">6.6</span> <a href="#TE0950TestBoard-Rootfs">Rootfs</a></li>
<li><span class="TOCOutline">6.7</span> <a href="#TE0950TestBoard-Applications">Applications</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">6.7.1</span> <a href="#TE0950TestBoard-startup">startup</a></li>
<li><span class="TOCOutline">6.7.2</span> <a href="#TE0950TestBoard-cam-setup">cam-setup</a></li>
</ul>
</li>
</ul>
</li>
<li><span class="TOCOutline">7</span> <a href="#TE0950TestBoard-Appx.A:ChangeHistoryandLegalNotices">Appx. A: Change History and Legal Notices</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">7.1</span> <a href="#TE0950TestBoard-DocumentChangeHistory">Document Change History</a></li>
<li><span class="TOCOutline">7.2</span> <a href="#TE0950TestBoard-LegalNotices">Legal Notices</a></li>
<li><span class="TOCOutline">7.3</span> <a href="#TE0950TestBoard-DataPrivacy">Data Privacy</a></li>
<li><span class="TOCOutline">7.4</span> <a href="#TE0950TestBoard-DocumentWarranty">Document Warranty</a></li>
<li><span class="TOCOutline">7.5</span> <a href="#TE0950TestBoard-LimitationofLiability">Limitation of Liability</a></li>
<li><span class="TOCOutline">7.6</span> <a href="#TE0950TestBoard-CopyrightNotice">Copyright Notice</a></li>
<li><span class="TOCOutline">7.7</span> <a href="#TE0950TestBoard-TechnologyLicenses">Technology Licenses</a></li>
<li><span class="TOCOutline">7.8</span> <a href="#TE0950TestBoard-EnvironmentalProtection">Environmental Protection</a></li>
<li><span class="TOCOutline">7.9</span> <a href="#TE0950TestBoard-REACH,RoHSandWEEE">REACH, RoHS and WEEE</a></li>
</ul>
</li>
<li><span class="TOCOutline">8</span> <a href="#TE0950TestBoard-Tableofcontents">Table of contents</a></li>
</ul>
</div><p />
</div>
<style>
.fixed {
position: fixed; height:60%; overflow-y: auto ;
}
</style></p><p><br/></p></div>
</div>
</div>
</div>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/TE0950+Test+Board">View Online</a>
·
<a href="https://wiki.trenz-electronic.de/pages/diffpagesbyversion.action?pageId=179809768&revisedVersion=51&originalVersion=50">View Changes Online</a>
</div>
</div>
Markus Kirberg
2023-06-26T09:32:27Z
Installation
Markus Kirberg
tag:wiki.trenz-electronic.de,2009:page-16089787-23
2024-03-28T07:57:13Z
2015-02-05T16:50:48Z
<div class="feed"> <p>
Page
<b>edited</b> by
<a href=" https://wiki.trenz-electronic.de/display/~M.Kirberg
">Markus Kirberg</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<h4 id="Installation-Overview">Overview</h4><p>The Vivado® Design Suite allows you to create projects based on specific boards. Trenz Electronic provides Vivado Board Part files in the <a class="external-link" href="https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic">download area</a>. This files are included into the reference projects, please choose a reference design under the proper module.</p><p>There are three options for installing the Board Part files</p><ul><li>Option1: Use Trenz Electronic Reference Design with local board part file</li><li>Option2: Xilinx Git Hub for Vivado</li><li>Option3: Install into a User Repository</li><li>Option4: Install into the Vivado installation</li></ul><p>Attention: The board part files of our reference designs are for the corresponding Vivado version of the project delivery. It's possible to use them with other Vivado versions, but maybe it's not working correctly, if this is done.</p><h4 id="Installation-Option1:UseTrenzElectronicReferenceDesignwithlocalboardpartfile">Option 1: Use Trenz Electronic Reference Design with local board part file</h4><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Trenz Electronic will provide board part files for new assembly variants at first with the latest reference designs version.</p><ul><li>Since 2018.3 special "Module Selection Guide" is included into "_create_win_setup.cmd" and "_create_linux_setup.sh"</li><li>These board part file will be set for the local project, if the project is generated with the provided scripts from the project delivery. More information on: <a href="https://wiki.trenz-electronic.de/display/PD/Project+Delivery+-+AMD+devices">Project Delivery - AMD devices</a></li><li>A overview page of the latest reference designs and links to the documentation and downloads are available on: <a href="https://wiki.trenz-electronic.de/display/PD/TE+Reference+Designs+Overview#TEReferenceDesignsOverview-Overview">TE Reference Designs Overview#Overview</a></li></ul></div></div><ol><li>Download the reference design from the corresponding download area of the Trenz Electronic Product</li><li>Since 2018.3 special "Module Selection Guide" is included into "_create_win_setup.cmd" and "_create_linux_setup.sh"</li><li>Unzip download (use short directory name)</li><li><strong>Execute</strong> "_create_win_setup.cmd" or "_create_linux_setup.sh" (depending on the used OS)</li><li><strong>Follow instruction on the console window</strong><ol><li>(optional) Select Vivado Installation path and Version (will be possible in the expected version wasn't found on Xilinx installation path)</li><li>Console will show a list of available assembly versions (board files) for the reference design:<br/><span class="confluence-embedded-file-wrapper confluence-embedded-manual-size"><img class="confluence-embedded-image" draggable="false" width="1000" src="https://wiki.trenz-electronic.de/download/attachments/16089787/image2020-9-8_14-21-10.png?version=1&modificationDate=1599567670329&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/16089787/image2020-9-8_14-21-10.png?version=1&modificationDate=1599567670329&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="107058340" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="image2020-9-8_14-21-10.png" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/png" data-linked-resource-container-id="16089787" data-linked-resource-container-version="23" alt=""></span></li><li>Select ID number to select the board or use filter function to reduce the table with one of the other categories from the table header</li><li>Verify selection and create project</li></ol></li></ol><h4 id="Installation-Option2:XilinxGitHubforVivado">Option 2: Xilinx Git Hub for Vivado<strong><br/></strong></h4><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Board Files for Trenz Electronic Modules will be available on Xilinx Git Hub store for Vivado 2020.1 and newer</p><p>Trenz Electronic will update board files which are available the Github regularly, but latest board part files tested with the corresponding Vivado version will be still delivered first with the reference designs.</p><p>Xilinx Git Hub Link: <a class="external-link" href="https://github.com/Xilinx/XilinxBoardStore">https://github.com/Xilinx/XilinxBoardStore</a></p></div></div><ol><li>Open Vivado</li><li>Select download path for Git Hub Store (got to Tools → Settings):<br/><br/><span class="confluence-embedded-file-wrapper confluence-embedded-manual-size"><img class="confluence-embedded-image" draggable="false" width="1000" src="https://wiki.trenz-electronic.de/download/attachments/16089787/image2020-9-8_13-36-36.png?version=1&modificationDate=1599564996935&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/16089787/image2020-9-8_13-36-36.png?version=1&modificationDate=1599564996935&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="107058330" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="image2020-9-8_13-36-36.png" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/png" data-linked-resource-container-id="16089787" data-linked-resource-container-version="23" alt=""></span></li><li>Open XHub Store and select "Boards" Tab<br/><span class="confluence-embedded-file-wrapper confluence-embedded-manual-size"><img class="confluence-embedded-image" draggable="false" width="1000" src="https://wiki.trenz-electronic.de/download/attachments/16089787/image2020-9-8_13-47-57.png?version=1&modificationDate=1599565677559&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/16089787/image2020-9-8_13-47-57.png?version=1&modificationDate=1599565677559&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="107058334" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="image2020-9-8_13-47-57.png" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/png" data-linked-resource-container-id="16089787" data-linked-resource-container-version="23" alt=""></span></li><li>Go to the device category of your Trenz Electronic SoC/FPGA Module, select the device and press Download Button<span class="confluence-embedded-file-wrapper confluence-embedded-manual-size"><img class="confluence-embedded-image confluence-thumbnail" draggable="false" height="34" src="https://wiki.trenz-electronic.de/download/thumbnails/16089787/image2020-9-8_13-58-59.png?version=1&modificationDate=1599566339151&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/16089787/image2020-9-8_13-58-59.png?version=1&modificationDate=1599566339151&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="107058339" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="image2020-9-8_13-58-59.png" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/png" data-linked-resource-container-id="16089787" data-linked-resource-container-version="23" alt=""></span><br/><span class="confluence-embedded-file-wrapper confluence-embedded-manual-size"><img class="confluence-embedded-image" draggable="false" width="1000" src="https://wiki.trenz-electronic.de/download/attachments/16089787/image2020-9-8_13-49-7.png?version=1&modificationDate=1599565747238&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/16089787/image2020-9-8_13-49-7.png?version=1&modificationDate=1599565747238&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="107058335" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="image2020-9-8_13-49-7.png" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/png" data-linked-resource-container-id="16089787" data-linked-resource-container-version="23" alt=""></span></li><li>Installed Board files will be marked with the green check mark.</li></ol><h4 id="Installation-Option3:InstallintoaUserRepository">Option 3: Install into a User Repository</h4><p>This Option allows you to install the Vivado Board Part files to any location of your choosing. The disadvantage is that it required an additional command to point the tools to your repository.</p><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>This description is for Vivado 2015.1 and higher. Older Vivado versions used other variable names, so some changes are necessary.</p><p>Since Vivado 2017.1, "init.tcl" should be renamed to "Vivado_init.tcl"</p></div></div><ol><li><p>Create init.tcl with following content:</p><div class="code panel pdl" style="border-width: 1px;"><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: text; gutter: false; theme: Confluence" data-theme="Confluence">if { [catch {
# #############################
# insert settings here:
set tepath "C:/TE"
if {[file exists ${tepath}/]} {
set_param board.repoPaths $tepath
puts "Set Board Part RepoPath: [get_param board.repoPaths]"
}
# #############################
}] } {
puts "Info: (TE) init.tcl failed"
}
</pre>
</div></div></li><li>Put init.tcl in one of the possible locations for init.tcl:<ol><li>Vivado Project (For current version only): <<em>installdir</em>>/vivado/<version>/scripts/</li><li>User Data(For all versions): C:/Users/<user>/AppData/Roaming/Xilinx/Vivado/</li></ol></li><li>Copy the Board part files folder from the reference project (<reference_design>/board_files/) into the folder C:/TE (the folder location can be changed in the init.tcl script). The *.csv file from <reference_design>/board_files/ is not necessary for this way.</li><li style="text-align: left;"><p>Start your Vivado Version and the TCL-console printout should be the following:<span style="color: rgb(0,51,102);"><br style="text-align: left;"/></span><span class="confluence-embedded-file-wrapper"><img class="confluence-embedded-image" draggable="false" src="https://wiki.trenz-electronic.de/download/attachments/16089787/RepoPath.PNG?version=1&modificationDate=1463983668000&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/16089787/RepoPath.PNG?version=1&modificationDate=1463983668000&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="24151820" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="RepoPath.PNG" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/png" data-linked-resource-container-id="16089787" data-linked-resource-container-version="23" alt=""></span><br/><br/><br/></p><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body">Please make sure you use plain ASCII text editor when creating the init.tcl file, any non ASCII character in the file will make Vivado to freeze on startup.</div></div><p>A working init.tcl file is provided in the archive with this tutorial. With this method you can set up a permanent initialization TCL that is read by Vivado each time it launches. <span>Details about the init.tcl file are included in UG835 Vivado Design Suite Tcl Command Reference Guide. UG835 describes where to put the init.tcl file. </span></p><p><br/></p></li></ol><h4 id="Installation-Option4:InstallintotheVivadoinstallation">Option 4: Install into the Vivado installation</h4><p>This is the quickest option, but it is not recommended by Xilinx. Use at your own risk.</p><ol><li>Copy the Board part files folder from the reference project (<reference_design>/board_files/) into the folder <<em>installdir</em>>/vivado/<version>/data/boards/board_files/. Create this folder if it does not exist yet. The *.csv file from <reference_design>/board_files/ is not necessary for this way.<br/><span> </span></li></ol><h4 style="text-align: left;" id="Installation-LoadonVivado">Load on Vivado</h4><p>Regardless of which method you choose, the new boards should now be visible in Vivado 2015.1 or higher for vendor <a class="external-link" href="http://trenz.biz">trenz.biz</a> . To test this out, do the following.</p><ol><li>Launch Vivado.</li><li>Select <strong>Create New Project</strong>.</li><li>Click <strong>Next></strong> to get started.</li><li>Set the project name and location. Press <strong>Next></strong>.</li><li>Select project type Click <strong>Next></strong>.</li><li>At the <strong>Default Part</strong> screen,<br/>a, Click <strong>Boards</strong> under Specify.<br/>b, Click<strong> trenz.biz</strong> under Vendor.<br/><br/></li></ol><p>The options should appear as shown.</p><p><span class="confluence-embedded-file-wrapper confluence-embedded-manual-size"><img class="confluence-embedded-image" draggable="false" width="800" src="https://wiki.trenz-electronic.de/download/attachments/16089787/3.png?version=1&modificationDate=1423225945000&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/16089787/3.png?version=1&modificationDate=1423225945000&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="16252962" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="3.png" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/png" data-linked-resource-container-id="16089787" data-linked-resource-container-version="23" alt=""></span></p><p><br/></p><p>For more information about this capability, please refer to the following Quick Take video.</p><ul><li><a class="external-link" href="http://www.xilinx.com/training/vivado/using-vivado-with-xilinx-evaluation-boards.htm">http://www.xilinx.com/training/vivado/using-vivado-with-xilinx-evaluation-boards.htm</a></li></ul><h4 id="Installation-SoftwareRequirements">Software Requirements</h4><p>The software used is</p><ul><li>Xilinx Vivado 2015.4 or higher ( for install option 1, 3, 4)</li><li>Xilinx Vivado 2019.1.3 or higher ( for install option 1, 2, 3, 4)</li></ul><p><br/></p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/Installation">View Online</a>
·
<a href="https://wiki.trenz-electronic.de/pages/diffpagesbyversion.action?pageId=16089787&revisedVersion=23&originalVersion=22">View Changes Online</a>
</div>
</div>
Markus Kirberg
2015-02-05T16:50:48Z
CR00091 Resources
Martin Rohrmüller
tag:wiki.trenz-electronic.de,2009:page-227115431-1
2024-03-27T11:43:37Z
2024-03-27T11:42:17Z
<div class="feed"> <p>
Page
<b>added</b> by
<a href=" https://wiki.trenz-electronic.de/display/~m.rohrmueller
">Martin Rohrmüller</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<h2 id="CR00091Resources-CR00091-CRUVIHSLoopbackAdapter">CR00091 - CRUVI HS Loopback Adapter</h2><ul><li>Documentation is in progress</li></ul><h2 id="CR00091Resources-Links">Links</h2><ul><li><span style="color: rgb(0,0,0);"><a class="external-link" href="http://www.cruvi.com">CRUVI </a> - CRUVI Standard</span></li><li><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=CR00091">Shop CR00091</a> - contains prices, available stock, disposability, scope of delivery and more</li><li><a class="external-link" href="https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic/CRUVI/CR00091">Download area</a> - various <span style="color: rgb(0,0,0);">reference designs, schematics, hardware designs and more</span></li></ul><h2 id="CR00091Resources-Support">Support</h2><ul><li>For support, please go to <a class="external-link" href="http://forum.trenz-electronic.de/">http://forum.trenz-electronic.de/</a> or contact <a class="external-link" href="mailto:support@trenz-electronic.de">support@trenz-electronic.de</a></li></ul><p><span> </span></p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/CR00091+Resources">View Online</a>
</div>
</div>
Martin Rohrmüller
2024-03-27T11:42:17Z
CR00049 Resources
Martin Rohrmüller
tag:wiki.trenz-electronic.de,2009:page-227115425-2
2024-03-27T11:41:30Z
2024-03-27T11:39:07Z
<div class="feed"> <p>
Page
<b>edited</b> by
<a href=" https://wiki.trenz-electronic.de/display/~m.rohrmueller
">Martin Rohrmüller</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<h2 id="CR00049Resources-CR00049-CRUVIHSe.MMCextension">CR00049 - CRUVI HS e.MMC extension</h2><ul><li>Documentation is in progress</li></ul><h2 id="CR00049Resources-Links">Links</h2><ul><li><span style="color: rgb(0,0,0);"><a class="external-link" href="http://www.cruvi.com">CRUVI </a> - CRUVI Standard</span></li><li><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=CR00049">Shop CR00049</a> - contains prices, available stock, disposability, scope of delivery and more</li><li><a class="external-link" href="https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic/CRUVI/CR00049">Download area</a> - various <span style="color: rgb(0,0,0);">reference designs, schematics, hardware designs and more</span></li></ul><h2 id="CR00049Resources-Support">Support</h2><ul><li>For support, please go to <a class="external-link" href="http://forum.trenz-electronic.de/">http://forum.trenz-electronic.de/</a> or contact <a class="external-link" href="mailto:support@trenz-electronic.de">support@trenz-electronic.de</a></li></ul><p><span> </span></p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/CR00049+Resources">View Online</a>
·
<a href="https://wiki.trenz-electronic.de/pages/diffpagesbyversion.action?pageId=227115425&revisedVersion=2&originalVersion=1">View Changes Online</a>
</div>
</div>
Martin Rohrmüller
2024-03-27T11:39:07Z
CR00240 Resources
Martin Rohrmüller
tag:wiki.trenz-electronic.de,2009:page-222692796-3
2024-03-27T11:38:22Z
2024-03-08T07:43:19Z
<div class="feed"> <p>
Page
<b>edited</b> by
<a href=" https://wiki.trenz-electronic.de/display/~m.rohrmueller
">Martin Rohrmüller</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<h2 id="CR00240Resources-CR00240-CRUVIHSHDMIAdapter">CR00240 - CRUVI HS HDMI Adapter</h2><ul><li>Documentation is in progress</li></ul><h2 id="CR00240Resources-Links">Links</h2><ul><li><span style="color: rgb(0,0,0);"><a class="external-link" href="http://www.cruvi.com">CRUVI </a> - CRUVI Standard</span></li><li><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=CR00240">Shop CR00240</a> - contains prices, available stock, disposability, scope of delivery and more</li><li><a class="external-link" href="https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic/CRUVI/CR00240">Download area</a> - various <span style="color: rgb(0,0,0);">reference designs, schematics, hardware designs and more</span></li></ul><h2 id="CR00240Resources-Support">Support</h2><ul><li>For support, please go to <a class="external-link" href="http://forum.trenz-electronic.de/">http://forum.trenz-electronic.de/</a> or contact <a class="external-link" href="mailto:support@trenz-electronic.de">support@trenz-electronic.de</a></li></ul><p><span> </span></p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/CR00240+Resources">View Online</a>
·
<a href="https://wiki.trenz-electronic.de/pages/diffpagesbyversion.action?pageId=222692796&revisedVersion=3&originalVersion=2">View Changes Online</a>
</div>
</div>
Martin Rohrmüller
2024-03-08T07:43:19Z
CR00111 Resources
Martin Rohrmüller
tag:wiki.trenz-electronic.de,2009:page-227115415-2
2024-03-27T11:37:43Z
2024-03-27T11:22:06Z
<div class="feed"> <p>
Page
<b>edited</b> by
<a href=" https://wiki.trenz-electronic.de/display/~m.rohrmueller
">Martin Rohrmüller</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<h2 id="CR00111Resources-CR00111-FMCto2xCRUVIHSAdapter">CR00111 - FMC to 2x CRUVI HS Adapter</h2><ul><li>Documentation is in progress</li></ul><h2 id="CR00111Resources-Links">Links</h2><ul><li><span style="color: rgb(0,0,0);"><a class="external-link" href="http://www.cruvi.com">CRUVI </a> - CRUVI Standard</span></li><li><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=CR00111">Shop CR00111</a> - contains prices, available stock, disposability, scope of delivery and more</li><li><a class="external-link" href="https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic/CRUVI/CR00111">Download area</a> - various <span style="color: rgb(0,0,0);">reference designs, schematics, hardware designs and more</span></li></ul><h2 id="CR00111Resources-Support">Support</h2><ul><li>For support, please go to <a class="external-link" href="http://forum.trenz-electronic.de/">http://forum.trenz-electronic.de/</a> or contact <a class="external-link" href="mailto:support@trenz-electronic.de">support@trenz-electronic.de</a></li></ul><p><span> </span></p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/CR00111+Resources">View Online</a>
·
<a href="https://wiki.trenz-electronic.de/pages/diffpagesbyversion.action?pageId=227115415&revisedVersion=2&originalVersion=1">View Changes Online</a>
</div>
</div>
Martin Rohrmüller
2024-03-27T11:22:06Z
CR00300 Resources
Martin Rohrmüller
tag:wiki.trenz-electronic.de,2009:page-227115419-1
2024-03-27T11:34:08Z
2024-03-27T11:29:44Z
<div class="feed"> <p>
Page
<b>added</b> by
<a href=" https://wiki.trenz-electronic.de/display/~m.rohrmueller
">Martin Rohrmüller</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<h2 id="CR00300Resources-CR00300-CRUVIHStoFFCAdapter">CR00300 - CRUVI HS to FFC Adapter</h2><ul><li>Documentation is in progress</li></ul><h2 id="CR00300Resources-Links">Links</h2><ul><li><span style="color: rgb(0,0,0);"><a class="external-link" href="http://www.cruvi.com">CRUVI </a> - CRUVI Standard</span></li><li><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=CR00300">Shop CR00300</a> - contains prices, available stock, disposability, scope of delivery and more</li><li><a class="external-link" href="https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic/CRUVI/CR00300">Download area</a> - various <span style="color: rgb(0,0,0);">reference designs, schematics, hardware designs and more</span></li></ul><h2 id="CR00300Resources-Support">Support</h2><ul><li>For support, please go to <a class="external-link" href="http://forum.trenz-electronic.de/">http://forum.trenz-electronic.de/</a> or contact <a class="external-link" href="mailto:support@trenz-electronic.de">support@trenz-electronic.de</a></li></ul><p><span> </span></p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/CR00300+Resources">View Online</a>
</div>
</div>
Martin Rohrmüller
2024-03-27T11:29:44Z
TEM0007 TRM
Kilian Jahn
tag:wiki.trenz-electronic.de,2009:page-94486258-47
2024-03-27T09:07:59Z
2020-02-03T08:01:55Z
<div class="feed"> <p>
Page
<b>edited</b> by
<a href=" https://wiki.trenz-electronic.de/display/~k.jahn
">Kilian Jahn</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<div class="contentLayout2">
<div class="columnLayout two-right-sidebar" data-layout="two-right-sidebar">
<div class="cell normal" data-type="normal">
<div class="innerCell">
<p><style>
.wrapped{
width: 100% !important;
max-width: 1200px !important;
}
</style></p><p><strong><a class="external-link" href="https://shop.trenz-electronic.de/de/Download/?path=Trenz_Electronic/Modules_and_Module_Carriers/4x5/TEM0007">Download PDF version of this document.</a><br/></strong></p><h2 id="TEM0007TRM-Overview">Overview</h2><p>Trenz Electronic TEM0007 module is an industrial-grade FPGA micromodule integrating a Microsemi Polarfire SoC FPGA, Gigabit Ethernet PHY, USB 2.0 PHY, LPDDR4 SDRAM, SPI Flash memory for configuration and operation, and power supply. A large number of configurable I/Os is provided via robust board-to-board (B2B) connectors.</p><p>Refer to <a class="external-link" href="http://trenz.org/tem0007-info">http://trenz.org/tem0007-info</a> for the current online version of this manual and other available documentation.</p><h3 id="TEM0007TRM-KeyFeatures"><span style="font-size: 16.0px;font-weight: bold;letter-spacing: -0.006em;">Key Features</span></h3><p><ul><li><strong>SoC/FPGA</strong><ul><li>Device: MPFS250T / MPFS160T / MPFS095T / MPFS025T <sup>1)</sup></li><li>Device Family: -T / -TL / -TS / -TLS <sup>1)</sup></li><li>Speedgrade: Blank / -1 <sup>1)</sup></li><li>Temperature Range: Extended / Industrial <sup>1)</sup></li><li>Package: FCVG484</li></ul></li><li><strong>RAM/Storage</strong><ul><li>1 GByte LPDDR4 SDRAM <sup>2)</sup></li><li>64 MByte SPI Flash Memory <sup>2)</sup></li><li>EEPROM with MAC address</li></ul></li><li><strong>On Board</strong><br/><ul><li>System Controller CPLD</li><li>Gigabit Ethernet PHY</li><li>USB 2.0 ULPI Transceiver</li><li>Oscillator</li></ul></li><li><strong>Interface</strong><ul><li>3 x B2B Connector (LSHM)<ul><li><p>up to 118 FPGA IOs</p><ul><li>GPIO: 84</li><li>HSIO: 34</li></ul></li><li><p>up to 14 MSSIO</p></li><li>1 SGMII</li><li>4 MGT</li><li>SDIO, USB, ETH, UART, I2C, JTAG, CONFIG</li></ul></li></ul></li><li><strong>Power</strong><ul><li>3.3 V power supply via B2B Connector needed <sup>3)</sup>.</li></ul></li><li><strong>Dimension</strong><ul><li>40 mm x 50 mm</li></ul></li><li><strong>Notes<br/></strong><sup>1)</sup><strong> </strong>Please, take care of the possible assembly options. Furthermore, check whether the power supply is powerful enough for your FPGA design. <strong>Attention: IO number connected to B2B connector depends on the used device!</strong><br/><sup>2)</sup> Please, take care of the possible assembly options.<br/><sup>3)</sup> A higher or lower input voltage may be possible.</li></ul></p><h3 id="TEM0007TRM-BlockDiagram">Block Diagram</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Figure_OV_BD"></span><div class="scroll-title-body"><p>
<div style="display:block;">
<div class="drawio-macro" data-macroId="8310e81a-aebb-43c1-b38f-c5268cc29c60" data-buildNum="9103" id="drawio-macro-content-8310e81a-aebb-43c1-b38f-c5268cc29c60" style="position:relative;display:inline-block;box-sizing:border-box;max-width:100%;overflow:hidden;border:1px solid transparent;"></div>
<div id="drawio-macro-data-8310e81a-aebb-43c1-b38f-c5268cc29c60" style="display:none">eyJleHRTcnZJbnRlZ1R5cGUiOiIiLCJnQ2xpZW50SWQiOiIiLCJjcmVhdG9yTmFtZSI6IkVEIiwib3V0cHV0VHlwZSI6ImJsb2NrIiwibGFzdE1vZGlmaWVyTmFtZSI6IkVEIiwibGFuZ3VhZ2UiOiJlbiIsInVpQ29uZmlnIjoiIiwiZGlhZ3JhbURpc3BsYXlOYW1lIjoiIiwic0ZpbGVJZCI6IiIsImF0dElkIjoiMTAwNDAxNTU2IiwiZGlhZ3JhbU5hbWUiOiJGaWd1cmVfT1ZfQkQiLCJhc3BlY3QiOiIiLCJsaW5rcyI6ImF1dG8iLCJjZW9OYW1lIjoiVEVNMDAwNyBUUk0iLCJ0YnN0eWxlIjoiaGlkZGVuIiwiY2FuQ29tbWVudCI6ZmFsc2UsImRpYWdyYW1VcmwiOiIiLCJjc3ZGaWxlVXJsIjoiIiwiYm9yZGVyIjp0cnVlLCJtYXhTY2FsZSI6IjEiLCJvd25pbmdQYWdlSWQiOjk0NDg2MjU4LCJlZGl0YWJsZSI6ZmFsc2UsImNlb0lkIjo5NDQ4NjI1OCwicGFnZUlkIjoiIiwibGJveCI6dHJ1ZSwic2VydmVyQ29uZmlnIjp7ImVtYWlscHJldmlldyI6IjEifSwib2RyaXZlSWQiOiIiLCJyZXZpc2lvbiI6MTcsIm1hY3JvSWQiOiI4MzEwZTgxYS1hZWJiLTQzYzEtYjM4Zi1jNTI2OGNjMjljNjAiLCJwcmV2aWV3TmFtZSI6IkZpZ3VyZV9PVl9CRC5wbmciLCJsaWNlbnNlU3RhdHVzIjoiT0siLCJzZXJ2aWNlIjoiIiwiaXNUZW1wbGF0ZSI6IiIsIndpZHRoIjoiNjQ0Iiwic2ltcGxlVmlld2VyIjp0cnVlLCJsYXN0TW9kaWZpZWQiOjE2OTY4Mzk5NTgxMzAsImV4Y2VlZFBhZ2VXaWR0aCI6ZmFsc2UsIm9DbGllbnRJZCI6IiJ9</div>
</div>
</p></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>TEM0007 block diagram</strong></div></div><h3 id="TEM0007TRM-MainComponents">Main Components</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Figure_OV_MC"></span><div class="scroll-title-body"><p>
<div style="display:block;">
<div class="drawio-macro" data-macroId="925643c7-7268-4a81-82b8-758d04ed22d9" data-buildNum="9103" id="drawio-macro-content-925643c7-7268-4a81-82b8-758d04ed22d9" style="position:relative;display:inline-block;box-sizing:border-box;max-width:100%;overflow:hidden;border:1px solid transparent;"></div>
<div id="drawio-macro-data-925643c7-7268-4a81-82b8-758d04ed22d9" style="display:none">eyJleHRTcnZJbnRlZ1R5cGUiOiIiLCJnQ2xpZW50SWQiOiIiLCJjcmVhdG9yTmFtZSI6IkVEIiwib3V0cHV0VHlwZSI6ImJsb2NrIiwibGFzdE1vZGlmaWVyTmFtZSI6IkVEIiwibGFuZ3VhZ2UiOiJlbiIsInVpQ29uZmlnIjoiIiwiZGlhZ3JhbURpc3BsYXlOYW1lIjoiIiwic0ZpbGVJZCI6IiIsImF0dElkIjoiMTAwNDA0Njk1IiwiZGlhZ3JhbU5hbWUiOiJURU0wMDA3X09WX01DIiwiYXNwZWN0IjoiIiwibGlua3MiOiJhdXRvIiwiY2VvTmFtZSI6IlRFTTAwMDcgVFJNIiwidGJzdHlsZSI6ImhpZGRlbiIsImNhbkNvbW1lbnQiOmZhbHNlLCJkaWFncmFtVXJsIjoiIiwiY3N2RmlsZVVybCI6IiIsImJvcmRlciI6dHJ1ZSwibWF4U2NhbGUiOiIxIiwib3duaW5nUGFnZUlkIjo5NDQ4NjI1OCwiZWRpdGFibGUiOmZhbHNlLCJjZW9JZCI6OTQ0ODYyNTgsInBhZ2VJZCI6IiIsImxib3giOnRydWUsInNlcnZlckNvbmZpZyI6eyJlbWFpbHByZXZpZXciOiIxIn0sIm9kcml2ZUlkIjoiIiwicmV2aXNpb24iOjcsIm1hY3JvSWQiOiI5MjU2NDNjNy03MjY4LTRhODEtODJiOC03NThkMDRlZDIyZDkiLCJwcmV2aWV3TmFtZSI6IlRFTTAwMDdfT1ZfTUMucG5nIiwibGljZW5zZVN0YXR1cyI6Ik9LIiwic2VydmljZSI6IiIsImlzVGVtcGxhdGUiOiIiLCJ3aWR0aCI6IjYyNyIsInNpbXBsZVZpZXdlciI6ZmFsc2UsImxhc3RNb2RpZmllZCI6MTY5OTMzNjA2Nzc3MiwiZXhjZWVkUGFnZVdpZHRoIjpmYWxzZSwib0NsaWVudElkIjoiIn0=</div>
</div>
</p></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>TEM0007 main components</strong></div></div><ol><li>Microsemi Polarfire SoC, U2</li><li>LPDDR4 SDRAM, U6</li><li>Ethernet Transceiver, U7</li><li>USB Transceiver, U11</li><li>System Controller CPLD, U1</li><li>B2B Connector, JM1, JM2, JM3</li><li>EEPROM, U10</li><li>Serial NOR Flash, U3</li></ol><h3 id="TEM0007TRM-InitialDeliveryState">Initial Delivery State</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_OV_IDS"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="fixed-table wrapped confluenceTable"><colgroup><col style="width: 159.0px;"/><col style="width: 286.0px;"/><col style="width: 157.0px;"/></colgroup><tbody><tr><th class="confluenceTh"><p>Storage device name</p></th><th class="confluenceTh"><p>Content</p></th><th class="confluenceTh"><p>Notes</p></th></tr><tr><td class="confluenceTd"><p>LPDDR4 SDRAM</p></td><td class="confluenceTd">not programmed</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><p>SPI NOR Flash</p></td><td class="confluenceTd">not programmed</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">EEPROM</td><td class="confluenceTd">not programmed besides factory programmed MAC address</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">System Controller CPLD, U1</td><td class="confluenceTd">Standard firmware</td><td class="confluenceTd">See <a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+CPLD">TEM0007 CPLD</a>.</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Initial delivery state of programmable devices on the module</strong></div></div><h2 class="auto-cursor-target" id="TEM0007TRM-Signals,InterfacesandPins">Signals, Interfaces and Pins</h2><h3 id="TEM0007TRM-Connectors">Connectors</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_SIP_C"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="relative-table wrapped confluenceTable" style="width: 74.6624%;"><colgroup><col style="width: 21.4455%;"/><col style="width: 10.2851%;"/><col style="width: 12.0357%;"/><col style="width: 20.6796%;"/><col style="width: 35.4447%;"/></colgroup><tbody><tr><th class="confluenceTh">Connector Type</th><th class="confluenceTh">Designator</th><th class="confluenceTh">Interface</th><th class="confluenceTh">IO CNT</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM1</td><td class="confluenceTd">GPIO</td><td class="confluenceTd">up to 48 SE / 24 DIFF</td><td class="confluenceTd">only 40 SE / 20 DIFF for MPFS025 variants</td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM1</td><td class="confluenceTd">MSSIO</td><td class="confluenceTd">2 x MSSIO</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM1</td><td class="confluenceTd">I2C or MSSIO</td><td class="confluenceTd">I2C or 2 x MSSIO</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM1</td><td class="confluenceTd">SDIO or MSSIO</td><td class="confluenceTd">SDIO or 6 x MSSIO</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM1</td><td class="confluenceTd">UART or MSSIO</td><td class="confluenceTd">2 x UART or 4 x MSSIO</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM1</td><td class="confluenceTd">ETH - MDI</td><td class="confluenceTd">ETH</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM2</td><td class="confluenceTd">HSIO</td><td class="confluenceTd">18 SE / 9 DIFF</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM2</td><td class="confluenceTd">GPIO</td><td class="confluenceTd">up to 36 SE / 18 DIFF</td><td class="confluenceTd">only 8 SE / 4 DIFF for MPFS025 variants</td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM2</td><td class="confluenceTd">CFG</td><td class="confluenceTd">JTAG</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM3</td><td class="confluenceTd">HSIO</td><td class="confluenceTd">16 SE / 8 DIFF</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM3</td><td class="confluenceTd">SGMII</td><td class="confluenceTd">1 x SGMII (RX/TX)</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM3</td><td class="confluenceTd">MGT FPGA</td><td class="confluenceTd">4 x MGT (RX/TX)</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM3</td><td class="confluenceTd">MGT CLK</td><td class="confluenceTd">2 x MGT CLK</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">B2B</td><td class="confluenceTd">JM3</td><td class="confluenceTd">USB</td><td class="confluenceTd">USB</td><td class="confluenceTd"><br/></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Board Connectors</strong></div></div><h3 class="auto-cursor-target" id="TEM0007TRM-TestPoints">Test Points</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_SIP_TPs"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="relative-table wrapped confluenceTable" style="width: 58.1209%;"><colgroup><col style="width: 33.3675%;"/><col style="width: 23.2707%;"/><col style="width: 43.3682%;"/></colgroup><tbody><tr><th class="confluenceTh">Test Point</th><th class="confluenceTh">Signal</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">TP1</td><td class="confluenceTd">+3.3V</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP2</td><td class="confluenceTd">+2.5V</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP3</td><td class="confluenceTd">+2.5V_XCVR</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP4</td><td class="confluenceTd">+1.8V</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP5</td><td class="confluenceTd">-</td><td class="confluenceTd">Signal at U17 pin 3. See schematics.</td></tr><tr><td class="confluenceTd">TP6</td><td class="confluenceTd">+1.1V_LPDDR4</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP7</td><td class="confluenceTd">+1.0V</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP8</td><td class="confluenceTd">VDDAUX1</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP9</td><td class="confluenceTd">AVDD18</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP10</td><td class="confluenceTd">AVDD33</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP11</td><td class="confluenceTd">DVDD1V0</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP12</td><td class="confluenceTd">VCCIOB_SW</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP13</td><td class="confluenceTd">+2.5V_VDDA</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP14</td><td class="confluenceTd">+1.0V_VDDA</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TP15</td><td class="confluenceTd">+2.5V_VDD</td><td class="confluenceTd"><br/></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Test Points Information</strong></div></div><h2 id="TEM0007TRM-On-boardPeripherals">On-board Peripherals</h2><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_OBP"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="relative-table wrapped confluenceTable" style="width: 74.5808%;"><colgroup><col style="width: 28.4663%;"/><col style="width: 21.0205%;"/><col style="width: 13.7947%;"/><col style="width: 36.6765%;"/></colgroup><tbody><tr><th class="confluenceTh">Chip/Interface</th><th class="confluenceTh">Designator</th><th class="confluenceTh">Connected To</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd"><h3 id="TEM0007TRM-SystemControllerCPLD">System Controller CPLD</h3></td><td class="confluenceTd">U1</td><td class="confluenceTd"><p>B2B<br/>DCDC<br/>SoC<br/>Power Monitor</p></td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><h3 id="TEM0007TRM-GigabitEthernet">Gigabit Ethernet</h3></td><td class="confluenceTd">U7</td><td class="confluenceTd"><p>SoC - MSS<br/>B2B - JM1</p></td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><h3 id="TEM0007TRM-USBPHY">USB PHY</h3></td><td class="confluenceTd">U11</td><td class="confluenceTd"><p>SoC - MSS<br/>B2B -JM3</p></td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><h3 id="TEM0007TRM-LPDDR4SDRAM">LPDDR4 SDRAM</h3></td><td class="confluenceTd">U6</td><td class="confluenceTd">SoC - MSS</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><h3 id="TEM0007TRM-EEPROM">EEPROM</h3></td><td class="confluenceTd">U10</td><td class="confluenceTd">SoC - MSS</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><h3 id="TEM0007TRM-SPIFlashMemory">SPI Flash Memory</h3></td><td class="confluenceTd">U3</td><td class="confluenceTd">SoC - MSS</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><h3 id="TEM0007TRM-Oscillator">Oscillator</h3></td><td class="confluenceTd">U4</td><td class="confluenceTd">SoC - MSS</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><strong>Oscillator</strong></td><td class="confluenceTd">U5</td><td class="confluenceTd">Soc - FPGA</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><strong>Oscillator<br/></strong></td><td class="confluenceTd">U8</td><td class="confluenceTd">ETH PHY</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><strong>Oscillator</strong></td><td class="confluenceTd">U12</td><td class="confluenceTd">USB PHY</td><td class="confluenceTd"><br/></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>On board peripherals</strong></div></div><h2 id="TEM0007TRM-ConfigurationandSystemControlSignals">Configuration and System Control Signals</h2><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_OV_CNTRL"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped relative-table confluenceTable" style="width: 63.2386%;"><colgroup><col style="width: 15.6293%;"/><col style="width: 15.5001%;"/><col style="width: 12.0126%;"/><col style="width: 56.8214%;"/></colgroup><tbody><tr><th class="confluenceTh">Connector+Pin</th><th class="confluenceTh"><p>Signal Name</p></th><th class="confluenceTh">Direction<sup>1)</sup></th><th class="confluenceTh">Description</th></tr><tr><td class="confluenceTd">JM1-7</td><td class="confluenceTd">NOSEQ</td><td class="confluenceTd">IN/OUT</td><td class="confluenceTd">See <a href="https://wiki.trenz-electronic.de/display/PD/4+x+5+SoM+Integration+Guide">4 x 5 SoM Integration Guide</a> and <a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+CPLD">TEM0007 CPLD</a>.</td></tr><tr><td class="confluenceTd">JM1-28</td><td class="confluenceTd">SC_EN1</td><td class="confluenceTd">IN</td><td class="confluenceTd">See <a href="https://wiki.trenz-electronic.de/display/PD/4+x+5+SoM+Integration+Guide">4 x 5 SoM Integration Guide</a> and <a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+CPLD">TEM0007 CPLD</a>.</td></tr><tr><td class="confluenceTd">JM1-30</td><td class="confluenceTd">SC_PGOOD</td><td class="confluenceTd">IN/OUT</td><td class="confluenceTd">See <a href="https://wiki.trenz-electronic.de/display/PD/4+x+5+SoM+Integration+Guide">4 x 5 SoM Integration Guide</a> and <a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+CPLD">TEM0007 CPLD</a>.</td></tr><tr><td class="confluenceTd">JM1-32</td><td class="confluenceTd">SC_BOOTMODE</td><td class="confluenceTd">IN</td><td class="confluenceTd">See <a href="https://wiki.trenz-electronic.de/display/PD/4+x+5+SoM+Integration+Guide">4 x 5 SoM Integration Guide</a> and <a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+CPLD">TEM0007 CPLD</a>.</td></tr><tr><td class="confluenceTd">JM1-89</td><td class="confluenceTd">JTAGSEL</td><td class="confluenceTd">IN</td><td class="confluenceTd">See <a href="https://wiki.trenz-electronic.de/display/PD/4+x+5+SoM+Integration+Guide">4 x 5 SoM Integration Guide</a> and <a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+CPLD">TEM0007 CPLD</a>.</td></tr><tr><td class="confluenceTd">JM2-18</td><td class="confluenceTd">SC_nRST</td><td class="confluenceTd">IN</td><td class="confluenceTd">See <a href="https://wiki.trenz-electronic.de/display/PD/4+x+5+SoM+Integration+Guide">4 x 5 SoM Integration Guide</a> and <a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+CPLD">TEM0007 CPLD</a>.</td></tr><tr><td class="confluenceTd">JM2-93 / JM2-95 / JM2-97 / JM2-99</td><td class="confluenceTd">TMS / TDI / TDO / TCK</td><td class="confluenceTd">Signal-dependent</td><td class="confluenceTd"><p>JTAG configuration and debugging interface.</p><p>JTAG reference voltage: 3.3VIN</p></td></tr></tbody></table></div><p class="auto-cursor-target" style="margin-left: 40.0px;"><sup>1)</sup> Direction:</p><ul><li style="list-style-type: none;"><ul><li class="auto-cursor-target">IN: Input from the point of view of this board.</li><li class="auto-cursor-target">OUT: Output from the point of view of this board.</li></ul></li></ul></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Controller signal.</strong></div></div><h2 id="TEM0007TRM-PowerandPower-OnSequence">Power and Power-On Sequence</h2><h3 id="TEM0007TRM-PowerRails">Power Rails</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_PWR_PR"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="relative-table wrapped confluenceTable" style="width: 820.0px;"><colgroup><col style="width: 183.0px;"/><col style="width: 138.0px;"/><col style="width: 191.0px;"/><col style="width: 307.0px;"/></colgroup><tbody><tr><th style="text-align: center;" class="confluenceTh">Power Rail Name/ Schematic Name</th><th style="text-align: center;" class="confluenceTh">Connector + Pin</th><th style="text-align: center;" class="confluenceTh">Direction<sup>1)</sup></th><th class="confluenceTh">Notes</th></tr><tr><td style="text-align: center;" class="confluenceTd">VIN</td><td style="text-align: center;" class="confluenceTd">JM1.1 / JM1.3 / JM1.5 / JM2.2 / JM2.4 / JM2.6 / JM2.8</td><td style="text-align: center;" class="confluenceTd">IN</td><td class="confluenceTd">Supply voltage from the carrier board</td></tr><tr><td style="text-align: center;" class="confluenceTd">3.3VIN</td><td style="text-align: center;" class="confluenceTd">JM1.13 / JM1.15</td><td style="text-align: center;" class="confluenceTd">IN</td><td class="confluenceTd">Supply voltage from the carrier board</td></tr><tr><td style="text-align: center;" class="confluenceTd">3.3VIN</td><td style="text-align: center;" class="confluenceTd">JM2.91</td><td style="text-align: center;" class="confluenceTd">OUT</td><td class="confluenceTd">JTAG reference voltage</td></tr><tr><td style="text-align: center;" class="confluenceTd"><p>+1.8V</p></td><td style="text-align: center;" class="confluenceTd">JM1.39</td><td style="text-align: center;" class="confluenceTd">OUT</td><td class="confluenceTd">Internal +1.8V voltage level</td></tr><tr><td style="text-align: center;" class="confluenceTd"><p>VCCIOB</p></td><td style="text-align: center;" class="confluenceTd">JM2.1 / JM2.3</td><td style="text-align: center;" class="confluenceTd">IN</td><td class="confluenceTd">General purpose I/O bank voltage</td></tr><tr><td style="text-align: center;" class="confluenceTd"><p>VCCIOD</p></td><td style="text-align: center;" class="confluenceTd">JM2.7 / JM2.9</td><td style="text-align: center;" class="confluenceTd">IN</td><td class="confluenceTd">High speed I/O bank voltage (max. +1.8 V)</td></tr><tr><td style="text-align: center;" class="confluenceTd"><p>+3.3V</p></td><td style="text-align: center;" class="confluenceTd">JM2.10 / JM2.12</td><td style="text-align: center;" class="confluenceTd">OUT</td><td class="confluenceTd">Internal +3.3 V voltage level</td></tr></tbody></table></div><p class="auto-cursor-target" style="margin-left: 40.0px;"><sup>1)</sup> Direction:</p><ul><li style="list-style-type: none;"><ul><li class="auto-cursor-target">IN: Input from the point of view of this board.</li><li class="auto-cursor-target">OUT: Output from the point of view of this board.</li></ul></li></ul></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Module power rails.</strong></div></div><h3 id="TEM0007TRM-RecommendedPowerupSequencing">Recommended Power up Sequencing</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_BB_DH"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="relative-table wrapped confluenceTable" style="width: 99.9184%;"><colgroup><col style="width: 9.72864%;"/><col style="width: 13.7543%;"/><col style="width: 11.1264%;"/><col style="width: 11.4619%;"/><col style="width: 22.3647%;"/><col style="width: 31.5901%;"/></colgroup><tbody><tr><th style="text-align: center;" class="confluenceTh">Sequence</th><th style="text-align: center;" class="confluenceTh">Net name</th><th style="text-align: center;" class="confluenceTh">Recommended Voltage Range</th><th class="confluenceTh">Pull-up/down</th><th style="text-align: center;" class="confluenceTh">Description</th><th style="text-align: center;" class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">0</td><td class="confluenceTd">-</td><td class="confluenceTd">-</td><td class="confluenceTd">-</td><td class="confluenceTd">Configuration signal setup.</td><td class="confluenceTd">See <a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+TRM#Configuration and System Control Signals">Configuration and System Control Signals</a>.</td></tr><tr><td style="text-align: left;" class="confluenceTd">1</td><td style="text-align: left;" class="confluenceTd">3.3VIN</td><td style="text-align: left;" class="confluenceTd">3.3 V (± 5 %)</td><td style="text-align: left;" class="confluenceTd">-</td><td style="text-align: left;" class="confluenceTd">Management and SoC power supply.</td><td style="text-align: left;" class="confluenceTd">Main module power supply for management and SoC. 3 A recommended. Power consumption depends mainly on design and cooling solution.</td></tr><tr><td style="text-align: left;" class="confluenceTd">2</td><td style="text-align: left;" class="confluenceTd">VIN</td><td style="text-align: left;" class="confluenceTd">3.3 V (± 5 %) <sup>1)</sup></td><td style="text-align: left;" class="confluenceTd">-</td><td style="text-align: left;" class="confluenceTd">Main module power supply.</td><td style="text-align: left;" class="confluenceTd">Main module power supply for management and SoC. 5.5 A recommended. Power consumption depends mainly on design and cooling solution.</td></tr><tr><td style="text-align: left;" class="confluenceTd">3</td><td style="text-align: left;" class="confluenceTd">+1.8V</td><td style="text-align: left;" class="confluenceTd">-</td><td style="text-align: left;" class="confluenceTd">-</td><td style="text-align: left;" class="confluenceTd">1.8 V on-module power supply.</td><td style="text-align: left;" class="confluenceTd"><br/></td></tr><tr><td style="text-align: left;" class="confluenceTd">4</td><td style="text-align: left;" class="confluenceTd"><p>VCCIOB / VCCIOD</p></td><td style="text-align: left;" class="confluenceTd"><sup>2)</sup></td><td style="text-align: left;" class="confluenceTd">-</td><td style="text-align: left;" class="confluenceTd">Module bank voltages.</td><td style="text-align: left;" class="confluenceTd">Enable bank voltages after 1.8 V are available on carrier.</td></tr></tbody></table></div><p class="auto-cursor-target"><sup>1)</sup> A higher or lower input voltage may be possible. </p><p class="auto-cursor-target"><sup>2)</sup> See DS0147 for additional information.</p></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Baseboard Design Hints</strong></div></div><h2 id="TEM0007TRM-BoardtoBoardConnectors">Board to Board Connectors</h2><p><div class="confluence-information-macro confluence-information-macro-warning"><span class="aui-icon aui-icon-small aui-iconfont-error confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>These connectors are hermaphroditic. Odd pin numbers on the module are connected to even pin numbers on the baseboard and vice versa.</p></div></div><p>4 x 5 modules use two or three <a class="external-link" href="https://www.samtec.com/technical-specifications/Default.aspx?SeriesMaster=LSHM">Samtec Razor Beam LSHM connectors</a> on the bottom side.</p><ul><li>2 x REF-189016-02 (compatible to LSHM-150-04.0-L-DV-A-S-K-TR), (100 pins, "50" per row)</li><li>1 x REF-189017-02 (compatible to LSHM-130-04.0-L-DV-A-S-K-TR), (60 pins, "30" per row) (depending on module)</li></ul><h5 id="TEM0007TRM-ConnectorMatingheight">Connector Mating height</h5><p>When using the same type on baseboard, the mating height is 8mm. Other mating heights are possible by using connectors with a different height</p><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_Connectors"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/></colgroup><tbody><tr><th colspan="1" class="confluenceTh">Order number</th><th class="confluenceTh">Connector on baseboard</th><th colspan="1" class="confluenceTh">compatible to</th><th class="confluenceTh">Mating height</th></tr><tr><td colspan="1" class="confluenceTd"><span class="entry--content">23836</span></td><td class="confluenceTd">REF-189016-01</td><td colspan="1" class="confluenceTd">LSHM-150-02.5-L-DV-A-S-K-TR</td><td style="text-align: center;" class="confluenceTd">6.5 mm</td></tr><tr><td colspan="1" class="confluenceTd"><br/></td><td class="confluenceTd">LSHM-150-03.0-L-DV-A-S-K-TR</td><td colspan="1" class="confluenceTd">LSHM-150-03.0-L-DV-A-S-K-TR</td><td style="text-align: center;" class="confluenceTd">7.0 mm</td></tr><tr><td colspan="1" class="confluenceTd"><span class="entry--content">23838</span></td><td class="confluenceTd">REF-189016-02</td><td colspan="1" class="confluenceTd">LSHM-150-04.0-L-DV-A-S-K-TR</td><td style="text-align: center;" class="confluenceTd">8.0 mm</td></tr><tr><td colspan="1" class="confluenceTd"><br/></td><td colspan="1" class="confluenceTd">LSHM-150-06.0-L-DV-A-S-K-TR</td><td colspan="1" class="confluenceTd">LSHM-150-06.0-L-DV-A-S-K-TR</td><td colspan="1" style="text-align: center;" class="confluenceTd">10.0mm</td></tr><tr><td colspan="1" class="confluenceTd"><span class="entry--content">26125</span></td><td colspan="1" class="confluenceTd">REF-189017-01</td><td colspan="1" class="confluenceTd">LSHM-130-02.5-L-DV-A-S-K-TR</td><td colspan="1" style="text-align: center;" class="confluenceTd">6.5 mm</td></tr><tr><td colspan="1" class="confluenceTd"><br/></td><td colspan="1" class="confluenceTd">LSHM-130-03.0-L-DV-A-S-K-TR</td><td colspan="1" class="confluenceTd">LSHM-130-03.0-L-DV-A-S-K-TR</td><td colspan="1" style="text-align: center;" class="confluenceTd">7.0 mm</td></tr><tr><td colspan="1" class="confluenceTd"><span class="entry--content"> 24903 </span></td><td colspan="1" class="confluenceTd">REF-189017-02</td><td colspan="1" class="confluenceTd">LSHM-130-04.0-L-DV-A-S-K-TR</td><td colspan="1" style="text-align: center;" class="confluenceTd">8.0 mm</td></tr><tr><td colspan="1" class="confluenceTd"><br/></td><td colspan="1" class="confluenceTd">LSHM-130-06.0-L-DV-A-S-K-TR</td><td colspan="1" class="confluenceTd">LSHM-130-06.0-L-DV-A-S-K-TR</td><td colspan="1" style="text-align: center;" class="confluenceTd">10.0mm</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong>Connectors.</strong></div></div><p>The module can be manufactured using other connectors upon request.</p><h5 id="TEM0007TRM-ConnectorSpeedRatings">Connector Speed Ratings</h5><p>The LSHM connector speed rating depends on the stacking height; please see the following table:</p><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_Speed_Rating"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/></colgroup><tbody><tr><th style="text-align: center;" class="confluenceTh">Stacking height</th><th style="text-align: center;" class="confluenceTh">Speed rating</th></tr><tr><td style="text-align: left;" class="confluenceTd">12 mm, Single-Ended</td><td style="text-align: left;" class="confluenceTd">7.5 GHz / 15 Gbps</td></tr><tr><td style="text-align: left;" class="confluenceTd">12 mm, Differential</td><td style="text-align: left;" class="confluenceTd"><p>6.5 GHz / 13 Gbps</p></td></tr><tr><td colspan="1" style="text-align: left;" class="confluenceTd">5 mm, Single-Ended</td><td colspan="1" style="text-align: left;" class="confluenceTd">11.5 GHz / 23 Gbps</td></tr><tr><td colspan="1" style="text-align: left;" class="confluenceTd">5 mm, Differential</td><td colspan="1" style="text-align: left;" class="confluenceTd">7.0 GHz / 14 Gbps</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong>Speed rating.</strong></div></div><h5 id="TEM0007TRM-CurrentRating">Current Rating</h5><p>Current rating of <span lang="zxx"><span style="color: rgb(0,0,0);"><span lang="zxx"><span style="color: rgb(0,0,0);"> </span></span><span lang="zxx"><span style="color: rgb(0,0,0);"><span>Samtec Razor Beam™ </span>LSHM</span></span></span></span> B2B connectors is 2.0A per pin (2 adjacent pins powered).</p><h5 id="TEM0007TRM-ConnectorMechanicalRatings">Connector Mechanical Ratings</h5><ul><li>Shock: 100G, 6 ms Sine</li><li>Vibration: 7.5G random, 2 hours per axis, 3 axes total</li></ul><p><br/></p><h5 id="TEM0007TRM-ManufacturerDocumentation">Manufacturer Documentation</h5><p>
<div class="plugin_attachments_container">
<div class="plugin_attachments_table_container">
<fieldset class="hidden">
<input type="hidden" class="plugin_attachments_macro_render_param" name="pageId" value="23625798">
<input type="hidden" name="deleteConfirmMessage" value="Are you sure you want to send the attached file "{0}" to the trash? Only a space administrator can undo this action."/>
<input type="hidden" class="plugin_attachments_macro_render_param" name="sortBy" value="name">
<input type="hidden" class="plugin_attachments_macro_render_param" name="upload" value="false">
<input type="hidden" class="plugin_attachments_macro_render_param" name="old" value="false">
<input type="hidden" name="outputType" value="feed">
</fieldset>
<table class="attachments aui">
<thead>
<tr>
<th class="expand-column attachment-summary-toggle"> </th>
<th class="filename-column"> <span class="propersortedby $sortOrder"><a href="/display/PD/4+x+5+SoM+LSHM+B2B+Connectors?sortBy=name&sortOrder=descending">File</a></span>
</th>
<th class="modified-column"> <a href="/display/PD/4+x+5+SoM+LSHM+B2B+Connectors?sortBy=date&sortOrder=ascending">Modified</a>
</th>
</tr>
</thead>
<tbody>
<tr id="attachment-23625796"
class="attachment-row"
data-attachment-id="23625796"
data-attachment-idx="1">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/hsc-report_lshm-lshm-05mm_web.pdf?api=v2"
title="Download"
data-filename="hsc-report_lshm-lshm-05mm_web.pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
hsc-report_lshm-lshm-05mm_web.pdf
</a>
<span class="attachment-comment">High speed test report </span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625796 hidden"
data-attachment-id="23625796"
data-attachment-filename="hsc-report_lshm-lshm-05mm_web.pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625796" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="hsc-report_lshm-lshm-05mm_web.pdf"
data-file-src="/download/attachments/23625798/hsc-report_lshm-lshm-05mm_web.pdf?api=v2"
data-linked-resource-default-alias="hsc-report_lshm-lshm-05mm_web.pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625796">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=hsc-report_lshm-lshm-05mm_web.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
<tr id="attachment-23625797"
class="attachment-row"
data-attachment-id="23625797"
data-attachment-idx="2">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/lshm_dv.pdf?api=v2"
title="Download"
data-filename="lshm_dv.pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
lshm_dv.pdf
</a>
<span class="attachment-comment">LSHM catalog page</span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625797 hidden"
data-attachment-id="23625797"
data-attachment-filename="lshm_dv.pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625797" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="lshm_dv.pdf"
data-file-src="/download/attachments/23625798/lshm_dv.pdf?api=v2"
data-linked-resource-default-alias="lshm_dv.pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625797">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=lshm_dv.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
<tr id="attachment-23625792"
class="attachment-row"
data-attachment-id="23625792"
data-attachment-idx="3">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/LSHM-1XX-XX.X-X-DV-A-X-X-TR-FOOTPRINT%281%29.pdf?api=v2"
title="Download"
data-filename="LSHM-1XX-XX.X-X-DV-A-X-X-TR-FOOTPRINT(1).pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
LSHM-1XX-XX.X-X-DV-A-X-X-TR-FOOTPRINT(1).pdf
</a>
<span class="attachment-comment">Recommended layout and stencil drawing</span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625792 hidden"
data-attachment-id="23625792"
data-attachment-filename="LSHM-1XX-XX.X-X-DV-A-X-X-TR-FOOTPRINT(1).pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625792" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="LSHM-1XX-XX.X-X-DV-A-X-X-TR-FOOTPRINT(1).pdf"
data-file-src="/download/attachments/23625798/LSHM-1XX-XX.X-X-DV-A-X-X-TR-FOOTPRINT%281%29.pdf?api=v2"
data-linked-resource-default-alias="LSHM-1XX-XX.X-X-DV-A-X-X-TR-FOOTPRINT(1).pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625792">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=LSHM-1XX-XX.X-X-DV-A-X-X-TR-FOOTPRINT%281%29.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
<tr id="attachment-23625795"
class="attachment-row"
data-attachment-id="23625795"
data-attachment-idx="4">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/LSHM-1XX-XX.X-XX-DV-A-X-X-TR-MKT.pdf?api=v2"
title="Download"
data-filename="LSHM-1XX-XX.X-XX-DV-A-X-X-TR-MKT.pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
LSHM-1XX-XX.X-XX-DV-A-X-X-TR-MKT.pdf
</a>
<span class="attachment-comment">Technical drawing</span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625795 hidden"
data-attachment-id="23625795"
data-attachment-filename="LSHM-1XX-XX.X-XX-DV-A-X-X-TR-MKT.pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625795" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="LSHM-1XX-XX.X-XX-DV-A-X-X-TR-MKT.pdf"
data-file-src="/download/attachments/23625798/LSHM-1XX-XX.X-XX-DV-A-X-X-TR-MKT.pdf?api=v2"
data-linked-resource-default-alias="LSHM-1XX-XX.X-XX-DV-A-X-X-TR-MKT.pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625795">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=LSHM-1XX-XX.X-XX-DV-A-X-X-TR-MKT.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
<tr id="attachment-23625789"
class="attachment-row"
data-attachment-id="23625789"
data-attachment-idx="5">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/REF-189016-01.pdf?api=v2"
title="Download"
data-filename="REF-189016-01.pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
REF-189016-01.pdf
</a>
<span class="attachment-comment">Technical Drawing</span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625789 hidden"
data-attachment-id="23625789"
data-attachment-filename="REF-189016-01.pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625789" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="REF-189016-01.pdf"
data-file-src="/download/attachments/23625798/REF-189016-01.pdf?api=v2"
data-linked-resource-default-alias="REF-189016-01.pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625789">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=REF-189016-01.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
<tr id="attachment-23625790"
class="attachment-row"
data-attachment-id="23625790"
data-attachment-idx="6">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/REF-189016-02.pdf?api=v2"
title="Download"
data-filename="REF-189016-02.pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
REF-189016-02.pdf
</a>
<span class="attachment-comment">Technical Drawing</span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625790 hidden"
data-attachment-id="23625790"
data-attachment-filename="REF-189016-02.pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625790" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="REF-189016-02.pdf"
data-file-src="/download/attachments/23625798/REF-189016-02.pdf?api=v2"
data-linked-resource-default-alias="REF-189016-02.pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625790">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=REF-189016-02.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
<tr id="attachment-23625788"
class="attachment-row"
data-attachment-id="23625788"
data-attachment-idx="7">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/REF-189017-01.pdf?api=v2"
title="Download"
data-filename="REF-189017-01.pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
REF-189017-01.pdf
</a>
<span class="attachment-comment">Technical Drawing</span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625788 hidden"
data-attachment-id="23625788"
data-attachment-filename="REF-189017-01.pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625788" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="REF-189017-01.pdf"
data-file-src="/download/attachments/23625798/REF-189017-01.pdf?api=v2"
data-linked-resource-default-alias="REF-189017-01.pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625788">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=REF-189017-01.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
<tr id="attachment-23625791"
class="attachment-row"
data-attachment-id="23625791"
data-attachment-idx="8">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/REF-189017-02.pdf?api=v2"
title="Download"
data-filename="REF-189017-02.pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
REF-189017-02.pdf
</a>
<span class="attachment-comment">Technical Drawing</span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625791 hidden"
data-attachment-id="23625791"
data-attachment-filename="REF-189017-02.pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625791" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="REF-189017-02.pdf"
data-file-src="/download/attachments/23625798/REF-189017-02.pdf?api=v2"
data-linked-resource-default-alias="REF-189017-02.pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625791">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=REF-189017-02.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
<tr id="attachment-23625793"
class="attachment-row"
data-attachment-id="23625793"
data-attachment-idx="9">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/TC0923--2523_report_Rev_2_qua.pdf?api=v2"
title="Download"
data-filename="TC0923--2523_report_Rev_2_qua.pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
TC0923--2523_report_Rev_2_qua.pdf
</a>
<span class="attachment-comment">Design qualification test report</span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625793 hidden"
data-attachment-id="23625793"
data-attachment-filename="TC0923--2523_report_Rev_2_qua.pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625793" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="TC0923--2523_report_Rev_2_qua.pdf"
data-file-src="/download/attachments/23625798/TC0923--2523_report_Rev_2_qua.pdf?api=v2"
data-linked-resource-default-alias="TC0923--2523_report_Rev_2_qua.pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625793">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=TC0923--2523_report_Rev_2_qua.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
<tr id="attachment-23625794"
class="attachment-row"
data-attachment-id="23625794"
data-attachment-idx="10">
<td class="attachment-summary-toggle">
<span class="icon icon-section-closed" title="Show more info"></span>
</td>
<td class="filename-column">
<span class="aui-icon content-type-attachment-pdf" title="PDF File">PDF File</span> <a class="filename" href="/download/attachments/23625798/tc0929--2611_qua%281%29.pdf?api=v2"
title="Download"
data-filename="tc0929--2611_qua(1).pdf"
data-type="application/pdf"
data-linked-resource-container-id="23625798">
tc0929--2611_qua(1).pdf
</a>
<span class="attachment-comment">Shock and vibration report </span>
</td>
<td class="attachment-created modified-column">
<span>07 04, 2016</span>
<span>by</span>
<a href=" /display/~tht
"
class="url fn"
>Thorsten Trenz</a> </td>
</tr>
<tr class="attachment-summary attachment-summary-23625794 hidden"
data-attachment-id="23625794"
data-attachment-filename="tc0929--2611_qua(1).pdf">
<td class="attachment-summary-toggle"></td>
<td class="attachment-details-wrapper" colspan="2">
<div class="attachment-image-preview"></div>
<p class="attachment-labels">Labels</p>
<div class="labels-section-content content-column" entityid="23625794" entitytype="attachment">
<div class="labels-content">
<ul class="label-list ">
<li class="no-labels-message">
No labels
</li>
</ul>
</div>
</div>
<div class="attachment-buttons">
<a class="aui-button previewAttachmentLink"
data-filename="tc0929--2611_qua(1).pdf"
data-file-src="/download/attachments/23625798/tc0929--2611_qua%281%29.pdf?api=v2"
data-linked-resource-default-alias="tc0929--2611_qua(1).pdf"
data-mime-type="application/pdf"
data-linked-resource-container-id="23625798"
data-linked-resource-id="23625794">Preview</a>
<a class="aui-button preview-attachment-link" href="/pages/worddav/preview.action?fileName=tc0929--2611_qua%281%29.pdf&pageId=23625798" >View</a>
</div>
</td>
</tr>
</tbody>
</table>
</div>
<div class="plugin_attachments_upload_container">
</div>
<div>
<a class="download-all-link" href="/pages/downloadallattachments.action?pageId=23625798" title="Download all the latest versions of attachments on this page as single zip file.">Download All</a>
</div>
</div>
</p><p><br/></p><p><br/></p></p><h2 class="auto-cursor-target" id="TEM0007TRM-TechnicalSpecifications">Technical Specifications</h2><h3 class="product--title" id="TEM0007TRM-AbsoluteMaximumRatings*)">Absolute Maximum Ratings <sup>*)</sup></h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_TS_AMR"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="relative-table wrapped confluenceTable" style="width: 431.0px;"><colgroup><col style="width: 143.0px;"/><col style="width: 156.0px;"/><col style="width: 73.0px;"/><col style="width: 80.0px;"/><col style="width: 77.0px;"/></colgroup><tbody><tr><th class="confluenceTh">Power Rail Name/ Schematic Name</th><th class="confluenceTh">Description</th><th class="confluenceTh">Min</th><th class="confluenceTh">Max</th><th class="confluenceTh">Unit</th></tr><tr><td class="confluenceTd">VIN</td><td class="confluenceTd">Supply voltage</td><td class="confluenceTd">-0.3</td><td class="confluenceTd">6.0</td><td class="confluenceTd">V</td></tr><tr><td class="confluenceTd">3.3VIN</td><td class="confluenceTd">Supply voltage</td><td class="confluenceTd">-0.3</td><td class="confluenceTd">3.75</td><td class="confluenceTd">V</td></tr><tr><td class="confluenceTd"><p>VCCIOB</p></td><td class="confluenceTd">I/O bank voltage</td><td class="confluenceTd">-0.5</td><td class="confluenceTd">3.6</td><td class="confluenceTd">V</td></tr><tr><td class="confluenceTd">VCCIOD</td><td class="confluenceTd">I/O bank voltage</td><td class="confluenceTd">-0.5</td><td class="confluenceTd">2.0</td><td class="confluenceTd">V</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Absolute maximum ratings</strong></div></div><p><sup>*)</sup> Stresses beyond those listed under <a href="#TEM0007TRM-AbsoluteMaximumRatings">Absolute Maximum Ratings</a> may cause permanent damage to the device. These are stress ratings only, which do not imply functional operation of the device at these<br/> or any other conditions beyond those indicated under <a href="#TEM0007TRM-RecommendedOperatingCondition">Recommended Operating Condition</a>. Exposure to absolute-maximum rated conditions for extended periods may affect device reliability.</p><h3 class="auto-cursor-target" id="TEM0007TRM-RecommendedOperatingConditions">Recommended Operating Conditions</h3><p>This TRM is generic for all variants. Temperature range can be differ depending on the assembly version. Voltage range is mostly the same during variants (exceptions are possible, depending on custom request)</p><p>Operating temperature range depends also on customer design and cooling solution. Please contact us for options.</p><ul><li>Variants of modules are described here: <a href="https://wiki.trenz-electronic.de/display/PD/Article+Number+Information">Article Number Information</a></li><li>Modules with commercial temperature grade are equipped with components that cover at least the range of 0°C to 75°C</li><li>Modules with extended temperature grade are equipped with components that cover at least the range of 0°C to 85°C</li><li>Modules with industrial temperature grade are equipped with components that cover at least the range of -40°C to 85°C</li><li>The actual operating temperature range will depend on the FPGA / SoC design / usage and cooling and other variables.</li></ul><p><br/></p><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_TS_ROC"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="relative-table wrapped confluenceTable" style="width: 468.0px;"><colgroup><col style="width: 103.0px;"/><col style="width: 69.0px;"/><col style="width: 69.0px;"/><col style="width: 59.0px;"/><col style="width: 167.0px;"/></colgroup><tbody><tr><th class="confluenceTh"><strong>Parameter</strong></th><th class="confluenceTh">Min</th><th class="confluenceTh">Max</th><th class="confluenceTh">Units</th><th class="confluenceTh">Reference Document</th></tr><tr><td class="confluenceTd">VIN</td><td class="confluenceTd">3.135</td><td class="confluenceTd">3.465</td><td style="text-align: center;" class="confluenceTd">V</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">3.3VIN</td><td class="confluenceTd">3.135</td><td class="confluenceTd">3.465</td><td style="text-align: center;" class="confluenceTd">V</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><p>VCCIOB</p></td><td class="confluenceTd">1.14</td><td class="confluenceTd">3.465</td><td style="text-align: center;" class="confluenceTd">V</td><td class="confluenceTd">See FPGA datasheet.</td></tr><tr><td class="confluenceTd">VCCIOD</td><td class="confluenceTd">1.14</td><td class="confluenceTd">1.89</td><td style="text-align: center;" class="confluenceTd">V</td><td class="confluenceTd">See FPGA datasheet.</td></tr></tbody></table></div><p class="auto-cursor-target"><sup>1)</sup> Higher and lower values may be possible. For more information consult schematic and according datasheets.</p></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Recommended operating conditions.</strong></div></div><h3 id="TEM0007TRM-PhysicalDimensions">Physical Dimensions</h3><ul><li><p>Module size: 40 mm × 50 mm. Please download the assembly diagram for exact numbers.</p></li><li><p>Mating height with standard connectors: 8 mm.</p></li></ul><p>PCB thickness: 1.74 mm.</p><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Figure_TS_PD"></span><div class="scroll-title-body"><p>
<div style="display:block;">
<div class="drawio-macro" data-macroId="a6f72fda-d3f9-4dea-9abf-419496189e80" data-buildNum="9103" id="drawio-macro-content-a6f72fda-d3f9-4dea-9abf-419496189e80" style="position:relative;display:inline-block;box-sizing:border-box;max-width:100%;overflow:hidden;border:1px solid transparent;"></div>
<div id="drawio-macro-data-a6f72fda-d3f9-4dea-9abf-419496189e80" style="display:none">eyJleHRTcnZJbnRlZ1R5cGUiOiIiLCJnQ2xpZW50SWQiOiIiLCJjcmVhdG9yTmFtZSI6IkVEIiwib3V0cHV0VHlwZSI6ImJsb2NrIiwibGFzdE1vZGlmaWVyTmFtZSI6IkVEIiwibGFuZ3VhZ2UiOiJlbiIsInVpQ29uZmlnIjoiIiwiZGlhZ3JhbURpc3BsYXlOYW1lIjoiIiwic0ZpbGVJZCI6IiIsImF0dElkIjoiMjAwNjA1OTMyIiwiZGlhZ3JhbU5hbWUiOiJURU0wMDA3X1RTX1BEIiwiYXNwZWN0IjoiIiwibGlua3MiOiJhdXRvIiwiY2VvTmFtZSI6IlRFTTAwMDcgVFJNIiwidGJzdHlsZSI6ImhpZGRlbiIsImNhbkNvbW1lbnQiOmZhbHNlLCJkaWFncmFtVXJsIjoiIiwiY3N2RmlsZVVybCI6IiIsImJvcmRlciI6dHJ1ZSwibWF4U2NhbGUiOiIxIiwib3duaW5nUGFnZUlkIjo5NDQ4NjI1OCwiZWRpdGFibGUiOmZhbHNlLCJjZW9JZCI6OTQ0ODYyNTgsInBhZ2VJZCI6IiIsImxib3giOnRydWUsInNlcnZlckNvbmZpZyI6eyJlbWFpbHByZXZpZXciOiIxIn0sIm9kcml2ZUlkIjoiIiwicmV2aXNpb24iOjIsIm1hY3JvSWQiOiJhNmY3MmZkYS1kM2Y5LTRkZWEtOWFiZi00MTk0OTYxODllODAiLCJwcmV2aWV3TmFtZSI6IlRFTTAwMDdfVFNfUEQucG5nIiwibGljZW5zZVN0YXR1cyI6Ik9LIiwic2VydmljZSI6IiIsImlzVGVtcGxhdGUiOiIiLCJ3aWR0aCI6Ijg4OSIsInNpbXBsZVZpZXdlciI6ZmFsc2UsImxhc3RNb2RpZmllZCI6MTY5OTMzNjA4NDk2MiwiZXhjZWVkUGFnZVdpZHRoIjpmYWxzZSwib0NsaWVudElkIjoiIn0=</div>
</div>
</p></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Physical Dimension</strong></div></div><h2 id="TEM0007TRM-CurrentlyOfferedVariants">Currently Offered Variants </h2><p><br/></p><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_VCP_SO"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/></colgroup><tbody><tr><th colspan="2" class="confluenceTh">Trenz shop TEM0007 overview page</th></tr><tr><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/en/search?sSearch=TEM0007">English page</a></td><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/search?sSearch=TEM0007">German page</a></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Trenz Electronic Shop Overview </strong></div></div><h2 id="TEM0007TRM-RevisionHistory">Revision History</h2><h3 id="TEM0007TRM-HardwareRevisionHistory">Hardware Revision History</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Figure_RV_HRN"></span><div class="scroll-title-body"><p>
<div style="display:block;">
<div class="drawio-macro" data-macroId="4762dd6a-de6e-4f76-b07e-9ca5ed1b5312" data-buildNum="9103" id="drawio-macro-content-4762dd6a-de6e-4f76-b07e-9ca5ed1b5312" style="position:relative;display:inline-block;box-sizing:border-box;max-width:100%;overflow:hidden;border:1px solid transparent;"></div>
<div id="drawio-macro-data-4762dd6a-de6e-4f76-b07e-9ca5ed1b5312" style="display:none">eyJleHRTcnZJbnRlZ1R5cGUiOiIiLCJnQ2xpZW50SWQiOiIiLCJjcmVhdG9yTmFtZSI6IkVEIiwib3V0cHV0VHlwZSI6ImJsb2NrIiwibGFzdE1vZGlmaWVyTmFtZSI6IkVEIiwibGFuZ3VhZ2UiOiJlbiIsInVpQ29uZmlnIjoiIiwiZGlhZ3JhbURpc3BsYXlOYW1lIjoiIiwic0ZpbGVJZCI6IiIsImF0dElkIjoiMjAwNjA1OTI4IiwiZGlhZ3JhbU5hbWUiOiJGaWd1cmVfUlZfSFJOIiwiYXNwZWN0IjoiIiwibGlua3MiOiJhdXRvIiwiY2VvTmFtZSI6IlRFTTAwMDcgVFJNIiwidGJzdHlsZSI6ImhpZGRlbiIsImNhbkNvbW1lbnQiOmZhbHNlLCJkaWFncmFtVXJsIjoiIiwiY3N2RmlsZVVybCI6IiIsImJvcmRlciI6dHJ1ZSwibWF4U2NhbGUiOiIxIiwib3duaW5nUGFnZUlkIjo5NDQ4NjI1OCwiZWRpdGFibGUiOmZhbHNlLCJjZW9JZCI6OTQ0ODYyNTgsInBhZ2VJZCI6IiIsImxib3giOnRydWUsInNlcnZlckNvbmZpZyI6eyJlbWFpbHByZXZpZXciOiIxIn0sIm9kcml2ZUlkIjoiIiwicmV2aXNpb24iOjEsIm1hY3JvSWQiOiI0NzYyZGQ2YS1kZTZlLTRmNzYtYjA3ZS05Y2E1ZWQxYjUzMTIiLCJwcmV2aWV3TmFtZSI6IkZpZ3VyZV9SVl9IUk4ucG5nIiwibGljZW5zZVN0YXR1cyI6Ik9LIiwic2VydmljZSI6IiIsImlzVGVtcGxhdGUiOiIiLCJ3aWR0aCI6IjI2OSIsInNpbXBsZVZpZXdlciI6ZmFsc2UsImxhc3RNb2RpZmllZCI6MTY5NjgyNjU4NTIwNCwiZXhjZWVkUGFnZVdpZHRoIjpmYWxzZSwib0NsaWVudElkIjoiIn0=</div>
</div>
</p></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Board hardware revision number.</strong></div></div><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_RH_HRH"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped relative-table confluenceTable" style="width: 37.4753%;"><colgroup><col style="width: 17.6702%;"/><col style="width: 20.6152%;"/><col style="width: 20.6152%;"/><col style="width: 39.9215%;"/></colgroup><tbody><tr><th class="confluenceTh">Date</th><th class="confluenceTh">Revision</th><th class="confluenceTh">Changes</th><th class="confluenceTh">Documentation Link</th></tr><tr><td class="confluenceTd">2020-05-26</td><td class="confluenceTd">01</td><td class="confluenceTd">Inital Release</td><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/Download/?path=Trenz_Electronic/Modules_and_Module_Carriers/4x5/TEM0007/REV01">TEM0007-01</a></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Hardware Revision History</strong></div></div><p><span class="toc-item-body">Hardware revision number can be found on the PCB board together with the module model number separated by the dash.</span></p><h3 id="TEM0007TRM-DocumentChangeHistory">Document Change History</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TEM0007TRM-Table_RH_DCH"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Date</th><th class="confluenceTh">Revision</th><th class="confluenceTh">Contributor</th><th class="confluenceTh">Description</th></tr><tr><td class="confluenceTd"><div class="content-wrapper"><p><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></div></td><td class="confluenceTd"><div class="content-wrapper"><p><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></div></td><td class="confluenceTd"><div class="content-wrapper"><p><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></div></td><td class="confluenceTd"><p>Link fixed</p></td></tr><tr><td class="confluenceTd">2023-11-07</td><td class="confluenceTd"><div class="content-wrapper"><p>v.45</p></div></td><td class="confluenceTd"><div class="content-wrapper"><p>ED</p></div></td><td class="confluenceTd">Initial Release</td></tr><tr><td class="confluenceTd"><p>--</p></td><td class="confluenceTd"><p>all</p></td><td class="confluenceTd"><p><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></td><td class="confluenceTd"><ul><li>--</li></ul></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:center"><strong>Document change history.</strong></div></div><h2 id="TEM0007TRM-Disclaimer"><span class="toc-item-body">Disclaimer</span></h2><p><h3 id="TEM0007TRM-DataPrivacy">Data Privacy</h3><p>Please also note our data protection declaration at <a class="external-link" href="https://www.trenz-electronic.de/en/Data-protection-Privacy">https://www.trenz-electronic.de/en/Data-protection-Privacy</a></p><h3 id="TEM0007TRM-DocumentWarranty">Document Warranty</h3><p>The material contained in this document is provided “as is” and is subject to being changed at any time without notice. Trenz Electronic does not warrant the accuracy and completeness of the materials in this document. Further, to the maximum extent permitted by applicable law, Trenz Electronic disclaims all warranties, either express or implied, with regard to this document and any information contained herein, including but not limited to the implied warranties of merchantability, fitness for a particular purpose or non infringement of intellectual property. Trenz Electronic shall not be liable for errors or for incidental or consequential damages in connection with the furnishing, use, or performance of this document or of any information contained herein.</p><h3 class="western" id="TEM0007TRM-LimitationofLiability">Limitation of Liability</h3><p>In no event will Trenz Electronic, its suppliers, or other third parties mentioned in this document be liable for any damages whatsoever (including, without limitation, those resulting from lost profits, lost data or business interruption) arising out of the use, inability to use, or the results of use of this document, any documents linked to this document, or the materials or information contained at any or all such documents. If your use of the materials or information from this document results in the need for servicing, repair or correction of equipment or data, you assume all costs thereof.</p><h3 class="western" id="TEM0007TRM-CopyrightNotice">Copyright Notice</h3><p>No part of this manual may be reproduced in any form or by any means (including electronic storage and retrieval or translation into a foreign language) without prior agreement and written consent from Trenz Electronic.</p><h3 class="western" id="TEM0007TRM-TechnologyLicenses">Technology Licenses</h3><p>The hardware / firmware / software described in this document are furnished under a license and may be used /modified / copied only in accordance with the terms of such license.</p><h3 class="western" id="TEM0007TRM-EnvironmentalProtection">Environmental Protection</h3><p>To confront directly with the responsibility toward the environment, the global community and eventually also oneself. Such a resolution should be integral part not only of everybody's life. Also enterprises shall be conscious of their social responsibility and contribute to the preservation of our common living space. That is why Trenz Electronic invests in the protection of our Environment.</p><p><span class="scroll-pi" type="pagebreak" style="display:none;"></span></p><h3 class="western" id="TEM0007TRM-REACH,RoHSandWEEE">REACH, RoHS and WEEE</h3><p><strong>REACH</strong></p><p>Trenz Electronic is a manufacturer and a distributor of electronic products. It is therefore a so called downstream user in the sense of <a class="external-link" href="http://guidance.echa.europa.eu/">REACH</a>. The products we supply to you are solely non-chemical products (goods). Moreover and under normal and reasonably foreseeable circumstances of application, the goods supplied to you shall not release any substance. For that, Trenz Electronic is obliged to neither register nor to provide safety data sheet. According to present knowledge and to best of our knowledge, no <a class="external-link" href="https://echa.europa.eu/candidate-list-table">SVHC (Substances of Very High Concern) on the Candidate List</a> are contained in our products. Furthermore, we will immediately and unsolicited inform our customers in compliance with REACH - Article 33 if any substance present in our goods (above a concentration of 0,1 % weight by weight) will be classified as SVHC by the <a class="external-link" href="http://www.echa.europa.eu/">European Chemicals Agency (ECHA)</a>.</p><p class="western"><strong>RoHS</strong></p><p>Trenz Electronic GmbH herewith declares that all its products are developed, manufactured and distributed RoHS compliant.</p><p class="western"><strong>WEEE</strong></p><p>Information for users within the European Union in accordance with Directive 2002/96/EC of the European Parliament and of the Council of 27 January 2003 on waste electrical and electronic equipment (WEEE).</p><p>Users of electrical and electronic equipment in private households are required not to dispose of waste electrical and electronic equipment as unsorted municipal waste and to collect such waste electrical and electronic equipment separately. By the 13 August 2005, Member States shall have ensured that systems are set up allowing final holders and distributors to return waste electrical and electronic equipment at least free of charge. Member States shall ensure the availability and accessibility of the necessary collection facilities. Separate collection is the precondition to ensure specific treatment and recycling of waste electrical and electronic equipment and is necessary to achieve the chosen level of protection of human health and the environment in the European Union. Consumers have to actively contribute to the success of such collection and the return of waste electrical and electronic equipment. Presence of hazardous substances in electrical and electronic equipment results in potential effects on the environment and human health. The symbol consisting of the crossed-out wheeled bin indicates separate collection for waste electrical and electronic equipment.</p><p style="margin-left: 0.0px;">Trenz Electronic is registered under WEEE-Reg.-Nr. DE97922676.</p><p style="margin-left: 0.0px;"><br/></p><p style="margin-left: 0.0px;"><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></p></div>
</div>
<div class="cell aside" data-type="aside">
<div class="innerCell">
<p><br/></p><p><br/></p><p><br/>
<div class="fixed">
<h2 id="TEM0007TRM-Tableofcontents">Table of contents</h2><p class="auto-cursor-target"><style type="text/css">/*<![CDATA[*/
div.rbtoc1711702106754 {padding: 0px;}
div.rbtoc1711702106754 ul {margin-left: 0px;}
div.rbtoc1711702106754 li {margin-left: 0px;padding-left: 0px;}
/*]]>*/</style></p><div class="toc-macro rbtoc1711702106754">
<ul class="toc-indentation">
<li><span class="TOCOutline">1</span> <a href="#TEM0007TRM-Overview">Overview</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">1.1</span> <a href="#TEM0007TRM-KeyFeatures">Key Features</a></li>
<li><span class="TOCOutline">1.2</span> <a href="#TEM0007TRM-BlockDiagram">Block Diagram</a></li>
<li><span class="TOCOutline">1.3</span> <a href="#TEM0007TRM-MainComponents">Main Components</a></li>
<li><span class="TOCOutline">1.4</span> <a href="#TEM0007TRM-InitialDeliveryState">Initial Delivery State</a></li>
</ul>
</li>
<li><span class="TOCOutline">2</span> <a href="#TEM0007TRM-Signals,InterfacesandPins">Signals, Interfaces and Pins</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">2.1</span> <a href="#TEM0007TRM-Connectors">Connectors</a></li>
<li><span class="TOCOutline">2.2</span> <a href="#TEM0007TRM-TestPoints">Test Points</a></li>
</ul>
</li>
<li><span class="TOCOutline">3</span> <a href="#TEM0007TRM-On-boardPeripherals">On-board Peripherals</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">3.1</span> <a href="#TEM0007TRM-SystemControllerCPLD">System Controller CPLD</a></li>
<li><span class="TOCOutline">3.2</span> <a href="#TEM0007TRM-GigabitEthernet">Gigabit Ethernet</a></li>
<li><span class="TOCOutline">3.3</span> <a href="#TEM0007TRM-USBPHY">USB PHY</a></li>
<li><span class="TOCOutline">3.4</span> <a href="#TEM0007TRM-LPDDR4SDRAM">LPDDR4 SDRAM</a></li>
<li><span class="TOCOutline">3.5</span> <a href="#TEM0007TRM-EEPROM">EEPROM</a></li>
<li><span class="TOCOutline">3.6</span> <a href="#TEM0007TRM-SPIFlashMemory">SPI Flash Memory</a></li>
<li><span class="TOCOutline">3.7</span> <a href="#TEM0007TRM-Oscillator">Oscillator</a></li>
</ul>
</li>
<li><span class="TOCOutline">4</span> <a href="#TEM0007TRM-ConfigurationandSystemControlSignals">Configuration and System Control Signals</a></li>
<li><span class="TOCOutline">5</span> <a href="#TEM0007TRM-PowerandPower-OnSequence">Power and Power-On Sequence</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">5.1</span> <a href="#TEM0007TRM-PowerRails">Power Rails</a></li>
<li><span class="TOCOutline">5.2</span> <a href="#TEM0007TRM-RecommendedPowerupSequencing">Recommended Power up Sequencing</a></li>
</ul>
</li>
<li><span class="TOCOutline">6</span> <a href="#TEM0007TRM-BoardtoBoardConnectors">Board to Board Connectors</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">6.1</span> <a href="#TEM0007TRM-ConnectorMatingheight">Connector Mating height</a></li>
<li><span class="TOCOutline">6.2</span> <a href="#TEM0007TRM-ConnectorSpeedRatings">Connector Speed Ratings</a></li>
<li><span class="TOCOutline">6.3</span> <a href="#TEM0007TRM-CurrentRating">Current Rating</a></li>
<li><span class="TOCOutline">6.4</span> <a href="#TEM0007TRM-ConnectorMechanicalRatings">Connector Mechanical Ratings</a></li>
<li><span class="TOCOutline">6.5</span> <a href="#TEM0007TRM-ManufacturerDocumentation">Manufacturer Documentation</a></li>
</ul>
</li>
<li><span class="TOCOutline">7</span> <a href="#TEM0007TRM-TechnicalSpecifications">Technical Specifications</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">7.1</span> <a href="#TEM0007TRM-AbsoluteMaximumRatings*)">Absolute Maximum Ratings *)</a></li>
<li><span class="TOCOutline">7.2</span> <a href="#TEM0007TRM-RecommendedOperatingConditions">Recommended Operating Conditions</a></li>
<li><span class="TOCOutline">7.3</span> <a href="#TEM0007TRM-PhysicalDimensions">Physical Dimensions</a></li>
</ul>
</li>
<li><span class="TOCOutline">8</span> <a href="#TEM0007TRM-CurrentlyOfferedVariants">Currently Offered Variants</a></li>
<li><span class="TOCOutline">9</span> <a href="#TEM0007TRM-RevisionHistory">Revision History</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">9.1</span> <a href="#TEM0007TRM-HardwareRevisionHistory">Hardware Revision History</a></li>
<li><span class="TOCOutline">9.2</span> <a href="#TEM0007TRM-DocumentChangeHistory">Document Change History</a></li>
</ul>
</li>
<li><span class="TOCOutline">10</span> <a href="#TEM0007TRM-Disclaimer">Disclaimer</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">10.1</span> <a href="#TEM0007TRM-DataPrivacy">Data Privacy</a></li>
<li><span class="TOCOutline">10.2</span> <a href="#TEM0007TRM-DocumentWarranty">Document Warranty</a></li>
<li><span class="TOCOutline">10.3</span> <a href="#TEM0007TRM-LimitationofLiability">Limitation of Liability</a></li>
<li><span class="TOCOutline">10.4</span> <a href="#TEM0007TRM-CopyrightNotice">Copyright Notice</a></li>
<li><span class="TOCOutline">10.5</span> <a href="#TEM0007TRM-TechnologyLicenses">Technology Licenses</a></li>
<li><span class="TOCOutline">10.6</span> <a href="#TEM0007TRM-EnvironmentalProtection">Environmental Protection</a></li>
<li><span class="TOCOutline">10.7</span> <a href="#TEM0007TRM-REACH,RoHSandWEEE">REACH, RoHS and WEEE</a></li>
</ul>
</li>
<li><span class="TOCOutline">11</span> <a href="#TEM0007TRM-Tableofcontents">Table of contents</a></li>
</ul>
</div><p />
</div>
<style>
.fixed {
position: fixed; height:60%; overflow-y: auto ;
}
</style></p><p class="auto-cursor-target">v</p><p><br/></p><p><br/></p><p><br/></p><p><br/></p><p><br/></p><p><br/></p><p><br/></p></div>
</div>
</div>
</div>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+TRM">View Online</a>
·
<a href="https://wiki.trenz-electronic.de/pages/diffpagesbyversion.action?pageId=94486258&revisedVersion=47&originalVersion=46">View Changes Online</a>
</div>
</div>
Kilian Jahn
2020-02-03T08:01:55Z
TE Reference Designs Overview
Mohsen Chamanbaz
tag:wiki.trenz-electronic.de,2009:page-36248842-256
2024-03-25T14:32:08Z
2017-07-04T10:31:28Z
<div class="feed"> <p>
Page
<b>edited</b> by
<a href=" https://wiki.trenz-electronic.de/display/~M.Chamanbaz
">Mohsen Chamanbaz</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<div class="contentLayout2">
<div class="columnLayout two-right-sidebar" data-layout="two-right-sidebar">
<div class="cell normal" data-type="normal">
<div class="innerCell">
<p><h2 id="TEReferenceDesignsOverview-Download">Download</h2><ul><li><a class="external-link" href="https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic">Trenz Electronic Download Area</a></li></ul><h2 id="TEReferenceDesignsOverview-Documentation">Documentation</h2><h3 id="TEReferenceDesignsOverview-Xilinx">Xilinx</h3><ul><li><a href="https://wiki.trenz-electronic.de/display/PD/AMD+Development+Tools">AMD Development Tools</a></li><li><a href="https://wiki.trenz-electronic.de/display/PD/Project+Delivery+-+AMD+devices">Project Delivery - AMD devices</a></li></ul><h3 id="TEReferenceDesignsOverview-Intel">Intel</h3><ul><li><a href="https://wiki.trenz-electronic.de/display/PD/Intel+Development+Tools">Intel Development Tools</a></li><li><a href="https://wiki.trenz-electronic.de/display/PD/Project+Delivery+-+Intel+devices">Project Delivery - Intel devices</a></li></ul><h3 id="TEReferenceDesignsOverview-MicroSemi">MicroSemi</h3><ul><li>Microchip Development Tools</li><li><a href="https://wiki.trenz-electronic.de/pages/viewpage.action?pageId=179803764">Project Delivery - Microchip devices</a></li></ul><h3 id="TEReferenceDesignsOverview-Lattice">Lattice</h3><ul><li>General documentation is currently not available</li></ul><h2 id="TEReferenceDesignsOverview-Overview">Overview</h2><h3 id="TEReferenceDesignsOverview-Xilinx.1">Xilinx</h3><p>Table shows newest reference design for specified Vivado and SDSoC (SDx) version available on Trenz Electronic Download Area.</p><p><br/></p><div class="table-wrap"><table class="relative-table wrapped confluenceTable"><colgroup><col style="width: 105.0px;"/><col style="width: 66.0px;"/><col style="width: 75.0px;"/><col style="width: 29.0px;"/><col style="width: 159.0px;"/><col style="width: 112.0px;"/><col style="width: 337.0px;"/></colgroup><tbody><tr><th class="confluenceTh">TE Series</th><th class="confluenceTh">Series</th><th class="confluenceTh">Typ</th><th class="highlight-grey confluenceTh" data-highlight-colour="grey"><br/></th><th class="confluenceTh">Latest Vivado Version Supported</th><th class="confluenceTh">Board Part Variants</th><th class="confluenceTh">Note</th></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/AM0010+Reference+Designs">AM0010</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">12</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0710+Reference+Designs">TE0710</a></td><td class="confluenceTd">7</td><td class="confluenceTd"><span class="dirlisting folder"><span class="descr">Artix</span></span></td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">12</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0711+Reference+Designs">TE0711</a></td><td class="confluenceTd">7</td><td class="confluenceTd"><span class="dirlisting folder"><span class="descr">Artix</span></span></td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">10</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0712+Reference+Designs">TE0712</a></td><td class="confluenceTd">7</td><td class="confluenceTd"><span class="dirlisting folder"><span class="descr">Artix</span></span></td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">67</td><td class="confluenceTd">different PCB revision and assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0713+Reference+Designs">TE0713</a></td><td class="confluenceTd">7</td><td class="confluenceTd"><span class="dirlisting folder"><span class="descr">Artix</span></span></td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2021.2</td><td class="confluenceTd">2</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0714+Reference+Designs">TE0714</a></td><td class="confluenceTd">7</td><td class="confluenceTd"><span class="dirlisting folder"><span class="descr">Artix</span></span></td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2021.2</td><td class="confluenceTd">12</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0715+Reference+Designs">TE0715</a></td><td class="confluenceTd">7</td><td class="confluenceTd"><span class="dirlisting folder"><span class="descr">Zynq</span></span></td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">50</td><td class="confluenceTd">different PCB revision and assembly options</td></tr><tr><td class="confluenceTd">TE0716</td><td class="confluenceTd">7</td><td class="confluenceTd"><span class="dirlisting folder"><span class="descr">Zynq</span></span></td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">tbd</td><td class="confluenceTd">tbd</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0717+Reference+Designs">TE0717</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Spartan</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2021.2</td><td class="confluenceTd">1</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0720+Reference+Designs">TE0720</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">89</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0722+Reference+Designs">TE0722</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">7</td><td class="confluenceTd">different PCB revision and assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0723+Reference+Designs">TE0723</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2018.3</td><td class="confluenceTd">4</td><td class="confluenceTd">different PCB revision and assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0724+Reference+Designs">TE0724</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">19</td><td class="confluenceTd">different PCB revision and assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0725+Reference+Designs">TE0725</a></td><td class="confluenceTd">7</td><td class="confluenceTd"><span class="dirlisting folder"><span class="descr">Artix</span></span></td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2021.2</td><td class="confluenceTd">6</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0725LP+Reference+Designs">TE0725LP</a></td><td class="confluenceTd">7</td><td class="confluenceTd"><span class="dirlisting folder"><span class="descr">Artix</span></span></td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2021.2</td><td class="confluenceTd">9</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0726+Reference+Designs">TE0726</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2020.2</td><td class="confluenceTd">4</td><td class="confluenceTd">different PCB revision and assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0727+Reference+Designs">TE0727</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2020.2</td><td class="confluenceTd">2</td><td class="confluenceTd">different PCB revision and assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0728+Reference+Designs">TE0728</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2020.2</td><td class="confluenceTd">2</td><td class="confluenceTd">different PCB revision</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0729+Reference+Designs">TE0729</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2019.2</td><td class="confluenceTd">3</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0741+Reference+Designs">TE0741</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Kintex</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">28</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0745+Reference+Designs">TE0745</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">66</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0763+Reference+Designs">TE0763</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Artix</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey" title="Hintergrundfarbe:"><br/></td><td class="confluenceTd">2021.2</td><td class="confluenceTd">1</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0782+Reference+Designs">TE0782</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">5</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0783+Reference+Designs">TE0783</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Zynq</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2017.4</td><td class="confluenceTd">1</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0802+Reference+Designs">TE0802</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">4</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0803+Reference+Designs">TE0803</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">98 (196)</td><td class="confluenceTd"><p>different assembly options and PS configurations</p><ul><li>not all are supported</li></ul></td></tr><tr><td class="confluenceTd">TE0806</td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey" title="Hintergrundfarbe:"><br/></td><td class="confluenceTd">tbd</td><td class="confluenceTd">tbd</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0807+Reference+Designs">TE0807</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">37 (74)</td><td class="confluenceTd"><p>different assembly options and PS configurations</p><ul><li>1 (2) are no longer supported by Vivado</li><li>2 (4) Si5345 not assembled</li></ul></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0808+Reference+Designs">TE0808</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">75 (150)</td><td class="confluenceTd"><p>different assembly options and PS configurations (including customer variants)</p><ul><li>3 (6) are no longer supported by Vivado</li><li>2 (4) Si5345 not assembled</li></ul></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0813+Reference+Designs">TE0813</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">29 (58)</td><td class="confluenceTd">different assembly options </td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0817+Reference+Designs">TE0817</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">1 (2)</td><td class="confluenceTd">different assembly options </td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0818+Reference+Designs">TE0818</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">27 (54)</td><td class="confluenceTd"><p>different assembly options</p><ul><li>including 2 T-variants</li></ul></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0820+Reference+Designs">TE0820</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">127</td><td class="confluenceTd">different PCB revision and assembly options<br/><ul><li>14/127 are no longer supported by Vivado</li><li>3/127 are without PLL</li></ul></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0821+Reference+Designs">TE0821</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">17</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0821+CR00200+Demo">CR00200</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2021.2.1</td><td class="confluenceTd">1</td><td class="confluenceTd">Demo Design with CRUVI Modul and TE0821</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0821+CR00202+Demo">CR00202</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2021.2.1</td><td class="confluenceTd">1</td><td class="confluenceTd">Demo Design with CRUVI Modul and TE0821</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0823+Reference+Designs">TE0823</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">8</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd">TE0830</td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0835+Reference+Designs">TE0835</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">RFSoC</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2020.2</td><td class="confluenceTd">1</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0841+Reference+Designs">TE0841</a></td><td class="confluenceTd">U</td><td class="confluenceTd">Kintex</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2021.2</td><td class="confluenceTd">17</td><td class="confluenceTd">different PCB revision and assembly options</td></tr><tr><td class="confluenceTd">TE0865</td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="confluenceTd"><br/></td><td class="confluenceTd">tbd</td><td class="confluenceTd">tbd</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0890+-+S7+Mini">TE0890</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Spartan</td><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEB0911+Reference+Designs">TEB0911</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2019.2</td><td class="confluenceTd">6</td><td class="confluenceTd">different assembly options</td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEB0912+Reference+Designs">TEB0912</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">2</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">TEC0810</td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2022.2</td><td class="confluenceTd">2</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEC0850+Reference+Designs">TEC0850</a></td><td class="confluenceTd">U+</td><td class="confluenceTd">ZynqMP</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2018.2</td><td class="confluenceTd">1</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TE0950+Reference+Designs">TE0950</a></td><td class="confluenceTd">V</td><td class="confluenceTd">Versal</td><td class="confluenceTd"><br/></td><td class="confluenceTd">2023.2</td><td class="confluenceTd">6</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEC0330+Reference+Designs">TEC0330</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Virtex</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2021.2</td><td class="confluenceTd">1</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEF1001+Reference+Designs">TEF1001</a></td><td class="confluenceTd">7</td><td class="confluenceTd">Kintex</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd">2018.2</td><td class="confluenceTd">4</td><td class="confluenceTd"> different assembly options and PCB revision</td></tr><tr><td class="confluenceTd">TEF0003</td><td class="confluenceTd">7</td><td class="confluenceTd">Artix</td><td class="highlight-grey confluenceTd" data-highlight-colour="grey"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td></tr></tbody></table></div><p>Note: Empty fields are work in process. "—" means not supported.</p><h3 id="TEReferenceDesignsOverview-Intel.1">Intel</h3><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">TE Series</th><th class="confluenceTh">Series</th><th class="confluenceTh">Typ</th><th class="confluenceTh">Latest Quartus Version Supported</th><th colspan="1" class="confluenceTh">Assembly Variants</th><th colspan="1" class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEI0001+Reference+Designs">TEI0001</a></td><td class="confluenceTd">MAX 10</td><td class="confluenceTd">--</td><td class="confluenceTd">22.1 Lite</td><td colspan="1" class="confluenceTd">11</td><td colspan="1" class="confluenceTd"><br/></td></tr><tr><td colspan="1" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEI0003+Reference+Designs">TEI0003</a></td><td colspan="1" class="confluenceTd">Cyclone 10</td><td colspan="1" class="confluenceTd">LP</td><td colspan="1" class="confluenceTd">22.1std Lite</td><td colspan="1" class="confluenceTd">6</td><td colspan="1" class="confluenceTd"><br/></td></tr><tr><td colspan="1" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEI0006+Reference+Designs">TEI0006</a></td><td colspan="1" class="confluenceTd">Cyclone 10</td><td colspan="1" class="confluenceTd">GX</td><td colspan="1" class="confluenceTd">22.4 Pro</td><td colspan="1" class="confluenceTd">16</td><td colspan="1" class="confluenceTd"><br/></td></tr><tr><td colspan="1" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEI0010+Reference+Designs">TEI0010</a></td><td colspan="1" class="confluenceTd">MAX 10</td><td colspan="1" class="confluenceTd">--</td><td colspan="1" class="confluenceTd">21.1 Lite</td><td colspan="1" class="confluenceTd">1</td><td colspan="1" class="confluenceTd"><br/></td></tr><tr><td colspan="1" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEI0015+Reference+Designs">TEI0015</a></td><td colspan="1" class="confluenceTd">MAX 10</td><td colspan="1" class="confluenceTd">--</td><td colspan="1" class="confluenceTd">18.1 Lite</td><td colspan="1" class="confluenceTd">2</td><td colspan="1" class="confluenceTd">only programming files available</td></tr><tr><td colspan="1" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEI0016+Reference+Designs">TEI0016</a></td><td colspan="1" class="confluenceTd">MAX 10</td><td colspan="1" class="confluenceTd">--</td><td colspan="1" class="confluenceTd">18.1 Lite</td><td colspan="1" class="confluenceTd">2</td><td colspan="1" class="confluenceTd">only programming files available</td></tr><tr><td colspan="1" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEI0022+Reference+Designs">TEI0022</a></td><td colspan="1" class="confluenceTd">Cyclone 5</td><td colspan="1" class="confluenceTd">SE</td><td colspan="1" class="confluenceTd">21.1 Lite</td><td colspan="1" class="confluenceTd">1</td><td colspan="1" class="confluenceTd"><br/></td></tr><tr><td colspan="1" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEI0023+Reference+Designs">TEI0023</a></td><td colspan="1" class="confluenceTd">MAX 10</td><td colspan="1" class="confluenceTd">--</td><td colspan="1" class="confluenceTd">19.1 Lite</td><td colspan="1" class="confluenceTd">1</td><td colspan="1" class="confluenceTd">only programming files available</td></tr><tr><td colspan="1" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEI0050+Reference+Designs">TEI0050</a></td><td colspan="1" class="confluenceTd">Cyclone 5</td><td colspan="1" class="confluenceTd">E</td><td colspan="1" class="confluenceTd">21.1 Lite</td><td colspan="1" class="confluenceTd">2</td><td colspan="1" class="confluenceTd"><br/></td></tr><tr><td colspan="1" class="confluenceTd">TEI0180</td><td colspan="1" class="confluenceTd">Agilex F Series</td><td colspan="1" class="confluenceTd"><br/></td><td colspan="1" class="confluenceTd"><br/></td><td colspan="1" class="confluenceTd"><br/></td><td colspan="1" class="confluenceTd"><br/></td></tr><tr><td colspan="1" class="confluenceTd">CR00100</td><td colspan="1" class="confluenceTd">MAX 10</td><td colspan="1" class="confluenceTd">--</td><td colspan="1" class="confluenceTd">21.1 Lite</td><td colspan="1" class="confluenceTd">2</td><td colspan="1" class="confluenceTd"><br/></td></tr></tbody></table></div><h3 id="TEReferenceDesignsOverview-MicroSemi.1">MicroSemi</h3><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">TE Series</th><th class="confluenceTh">Series</th><th class="confluenceTh">Latest Libero Version Supported</th><th class="confluenceTh">Assembly Variants</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEM0002+Reference+Designs">TEM0002 Reference Designs</a></td><td class="confluenceTd">SmartFusion2</td><td class="confluenceTd">12.4</td><td class="confluenceTd">1</td><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/TEM0007+Reference+Designs">TEM0007 Reference Designs</a></td><td class="confluenceTd">Polarfire SoC</td><td class="confluenceTd">23.1</td><td class="confluenceTd">5</td><td class="confluenceTd"><br/></td></tr></tbody></table></div><h3 id="TEReferenceDesignsOverview-Lattice.1">Lattice</h3><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">TE Series</th><th class="confluenceTh">Series</th><th class="confluenceTh">Typ</th><th class="confluenceTh">Latest Diamond Version Supported</th><th colspan="1" class="confluenceTh">Assembly Variants</th><th colspan="1" class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">TBD</td><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td><td colspan="1" class="confluenceTd"><br/></td><td colspan="1" class="confluenceTd"><br/></td></tr></tbody></table></div><h2 id="TEReferenceDesignsOverview-Disclaimers">Disclaimers</h2><ul><li>All information on this pages are provided as-is without assurance of correctness or completeness.</li><li>All information is subjected to change at any time without notice.</li><li>Please check download area for newest reference design.</li></ul></p></div>
</div>
<div class="cell aside" data-type="aside">
<div class="innerCell">
<p><br/>
<div class="fixed">
<h2 id="TEReferenceDesignsOverview-Tableofcontents">Table of contents</h2><p class="auto-cursor-target"><style type="text/css">/*<![CDATA[*/
div.rbtoc1711702106792 {padding: 0px;}
div.rbtoc1711702106792 ul {margin-left: 0px;}
div.rbtoc1711702106792 li {margin-left: 0px;padding-left: 0px;}
/*]]>*/</style></p><div class="toc-macro rbtoc1711702106792">
<ul class="toc-indentation">
<li><span class="TOCOutline">1</span> <a href="#TEReferenceDesignsOverview-Download">Download</a></li>
<li><span class="TOCOutline">2</span> <a href="#TEReferenceDesignsOverview-Documentation">Documentation</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">2.1</span> <a href="#TEReferenceDesignsOverview-Xilinx">Xilinx</a></li>
<li><span class="TOCOutline">2.2</span> <a href="#TEReferenceDesignsOverview-Intel">Intel</a></li>
<li><span class="TOCOutline">2.3</span> <a href="#TEReferenceDesignsOverview-MicroSemi">MicroSemi</a></li>
<li><span class="TOCOutline">2.4</span> <a href="#TEReferenceDesignsOverview-Lattice">Lattice</a></li>
</ul>
</li>
<li><span class="TOCOutline">3</span> <a href="#TEReferenceDesignsOverview-Overview">Overview</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">3.1</span> <a href="#TEReferenceDesignsOverview-Xilinx.1">Xilinx</a></li>
<li><span class="TOCOutline">3.2</span> <a href="#TEReferenceDesignsOverview-Intel.1">Intel</a></li>
<li><span class="TOCOutline">3.3</span> <a href="#TEReferenceDesignsOverview-MicroSemi.1">MicroSemi</a></li>
<li><span class="TOCOutline">3.4</span> <a href="#TEReferenceDesignsOverview-Lattice.1">Lattice</a></li>
</ul>
</li>
<li><span class="TOCOutline">4</span> <a href="#TEReferenceDesignsOverview-Disclaimers">Disclaimers</a></li>
<li><span class="TOCOutline">5</span> <a href="#TEReferenceDesignsOverview-Tableofcontents">Table of contents</a></li>
</ul>
</div><p />
</div>
<style>
.fixed {
position: fixed; height:60%; overflow-y: auto ;
}
</style></p><p><br/></p></div>
</div>
</div>
</div>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/TE+Reference+Designs+Overview">View Online</a>
·
<a href="https://wiki.trenz-electronic.de/pages/diffpagesbyversion.action?pageId=36248842&revisedVersion=256&originalVersion=255">View Changes Online</a>
</div>
</div>
Mohsen Chamanbaz
2017-07-04T10:31:28Z
TE0722 Test Board
Waldemar Hanemann
tag:wiki.trenz-electronic.de,2009:page-58228982-11
2024-03-25T14:23:34Z
2018-08-14T14:39:30Z
<div class="feed"> <p>
Page
<b>edited</b> by
<a href=" https://wiki.trenz-electronic.de/display/~w.hanemann
">Waldemar Hanemann</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<div class="contentLayout2">
<div class="columnLayout two-right-sidebar" data-layout="two-right-sidebar">
<div class="cell normal" data-type="normal">
<div class="innerCell">
<br/><p class="auto-cursor-target"><style>
.wrapped{
width: 100% !important;
max-width: 1200px !important;
}
</style></p><h2 id="TE0722TestBoard-Overview">Overview</h2><hr/><p>Zynq PS Design with DDR Less FSBL Example.</p><p>Refer to <a class="external-link" href="http://trenz.org/te0722-info">http://trenz.org/te0722-info</a> for the current online version of this manual and other available documentation.</p><h3 id="TE0722TestBoard-KeyFeatures">Key Features</h3><p><ul><li>Vivado 2023.2</li><li>UART</li><li>I2C</li><li>SD</li><li>Modified FSBL for DDR Less Zynq + small app with LED+Sensor and SD Card access</li><li>Special FSBL for QSPI programming</li></ul></p><h3 id="TE0722TestBoard-RevisionHistory">Revision History</h3><div id="expander-884552041" class="expand-container"><div role="heading" aria-level="6" id="expander-control-884552041" class="expand-control"><button type="button" id="expand-button-884552041" class="aui-button aui-button-link aui-button-link-icon-text" aria-expanded="true" aria-controls="expander-content-884552041" ><span class="expand-icon aui-icon aui-icon-small aui-iconfont-chevron-down" aria-hidden="true"></span><span class="expand-control-text conf-macro-render">Expand List</span></button></div><div role="region" id="expander-content-884552041" class="expand-content" aria-labelledby="expand-button-884552041"><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_DRH"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Date</th><th class="confluenceTh">Vivado</th><th class="confluenceTh">Project Built</th><th class="confluenceTh">Authors</th><th class="confluenceTh">Description</th></tr><tr><td class="confluenceTd">2024-03-25</td><td class="confluenceTd">2023.2</td><td class="confluenceTd">TE0722-test_board_noprebuilt-vivado_2023.2-build_4_20240325150206.zip<br/>TE0722-test_board-vivado_2023.2-build_4_20240325150206.zip</td><td class="confluenceTd">Waldemar Hanemann</td><td class="confluenceTd"><ul><li>2023.2 update</li></ul></td></tr><tr><td class="confluenceTd">2023-02-13</td><td class="confluenceTd">2021.2</td><td class="confluenceTd">TE0722-test_board_noprebuilt-vivado_2021.2-build_20_20230214143311.zip<br/>TE0722-test_board-vivado_2021.2-build_20_20230214143311.zip</td><td class="confluenceTd">Waldemar Hanemann</td><td class="confluenceTd"><ul><li>2021.2 update</li></ul></td></tr><tr><td class="confluenceTd">2020-04-16</td><td class="confluenceTd">2019.2</td><td class="confluenceTd">TE0722-test_board_noprebuilt-vivado_2019.2-build_10_20200416064916.zip<br/>TE0722-test_board-vivado_2019.2-build_10_20200416064756.zip</td><td class="confluenceTd">John Hartfiel</td><td class="confluenceTd"><ul><li>2019.2 update</li></ul></td></tr><tr><td class="confluenceTd">2019-05-22</td><td class="confluenceTd">2018.3</td><td class="confluenceTd">TE0722-test_board-vivado_2018.3-build_05_20190522113216.zip<br/>TE0722-test_board_noprebuilt-vivado_2018.3-build_05_20190522113228.zip</td><td class="confluenceTd">John Hartfiel</td><td class="confluenceTd"><ul><li>split FSBL into 2 templates, one with and one without Sensor+LED access example app</li></ul></td></tr><tr><td class="confluenceTd">2019-05-14</td><td class="confluenceTd">2018.3</td><td class="confluenceTd">TE0722-test_board-vivado_2018.3-build_05_20190510163659.zip<br/>TE0722-test_board_noprebuilt-vivado_2018.3-build_05_20190510163900.zip</td><td class="confluenceTd">John Hartfiel</td><td class="confluenceTd"><ul><li>TE Script update</li><li>rework of the FSBLs<ul><li>DDR LESS, Device ID, Sensor+LED access</li></ul></li><li>VIO for RGB access</li></ul></td></tr><tr><td class="confluenceTd">2018-08-14</td><td class="confluenceTd">2018.2</td><td class="confluenceTd">TE0722-test_board-vivado_2018.2-build_02_20180815123557.zip<br/>TE0722-test_board_noprebuilt-vivado_2018.2-build_02_20180815123610.zip</td><td class="confluenceTd">John Hartfiel</td><td class="confluenceTd"><ul><li>initial release</li></ul></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong>Design Revision History</strong></div></div></div></div><h3 id="TE0722TestBoard-ReleaseNotesandKnowIssues">Release Notes and Know Issues</h3><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_KI"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Issues</th><th class="confluenceTh">Description</th><th class="confluenceTh">Workaround</th><th class="confluenceTh">To be fixed version</th></tr><tr><td class="confluenceTd">QSPI Flash Programming failed with 19.2</td><td class="confluenceTd">Depending on Flash content Flash programming failed with provided fsbl_flash (Xilinx <a class="external-link" href="https://www.xilinx.com/support/answers/70548.html">AR# 70548</a> ) 2019.2 version</td><td class="confluenceTd"><ul><li>Option1:<ul><li>In case Flash is empty, use fsbl_flash on programming GUI </li><li>In case Flash is programmed use normal fsbl on programming GUI</li></ul></li><li>Option2: use in both case fsbl_flash on programming GUI and Vivado LabTools 2018.3</li><li>see also <a href="https://wiki.trenz-electronic.de/pages/viewpage.action?pageId=105689937">AR#00002</a> and <a href="https://wiki.trenz-electronic.de/display/PD/TE0722-Recovery">TE0722-Recovery</a></li></ul></td><td class="confluenceTd"><br/></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong>Known Issues</strong></div></div><h3 id="TE0722TestBoard-Requirements">Requirements</h3><h4 id="TE0722TestBoard-Software">Software</h4><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_SW"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped relative-table confluenceTable" style="width: 48.6438%;"><colgroup><col style="width: 18.64%;"/><col style="width: 8.88325%;"/><col style="width: 72.4704%;"/></colgroup><tbody><tr><th class="confluenceTh">Software</th><th class="confluenceTh">Version</th><th class="confluenceTh">Note</th></tr><tr><td class="confluenceTd">Vitis</td><td class="confluenceTd">2023.2</td><td class="confluenceTd">needed, Vivado is included in Vitis installation</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong>Software</strong></div></div><h4 id="TE0722TestBoard-Hardware">Hardware</h4><p>Basic description of TE Board Part Files is available on <a href="https://wiki.trenz-electronic.de/display/PD/TE+Board+Part+Files">TE Board Part Files.</a></p><p>Complete List is available on <design name>/board_files/*_board_files.csv</p><p>Design supports following modules:</p><div id="expander-1056237487" class="expand-container"><div role="heading" aria-level="6" id="expander-control-1056237487" class="expand-control"><button type="button" id="expand-button-1056237487" class="aui-button aui-button-link aui-button-link-icon-text" aria-expanded="true" aria-controls="expander-content-1056237487" ><span class="expand-icon aui-icon aui-icon-small aui-iconfont-chevron-down" aria-hidden="true"></span><span class="expand-control-text conf-macro-render">Expand List</span></button></div><div role="region" id="expander-content-1056237487" class="expand-content" aria-labelledby="expand-button-1056237487"><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_HWM"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/><col/><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Module Model</th><th class="confluenceTh">Board Part Short Name</th><th class="confluenceTh">PCB Revision Support</th><th class="confluenceTh">DDR</th><th class="confluenceTh">QSPI Flash</th><th class="confluenceTh">EMMC</th><th class="confluenceTh">Others</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">TE0722-01 </td><td class="confluenceTd">10</td><td class="confluenceTd">REV01 </td><td class="confluenceTd">0GB </td><td class="confluenceTd">16MB </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td></tr><tr><td class="confluenceTd">TE0722-02 </td><td class="confluenceTd">10</td><td class="confluenceTd">REV02 </td><td class="confluenceTd">0GB </td><td class="confluenceTd">16MB </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td></tr><tr><td class="confluenceTd">TE0722-02I </td><td class="confluenceTd">10_i </td><td class="confluenceTd">REV02 </td><td class="confluenceTd">0GB </td><td class="confluenceTd">16MB </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td></tr><tr><td class="confluenceTd">TE0722-02IC7 </td><td class="confluenceTd">10_i_c7</td><td class="confluenceTd">REV02 </td><td class="confluenceTd">0GB </td><td class="confluenceTd">16MB </td><td class="confluenceTd">NA </td><td class="confluenceTd">"without SD"</td><td class="confluenceTd">NA </td></tr><tr><td class="confluenceTd">TE0722-02-07S-1C </td><td class="confluenceTd">7s </td><td class="confluenceTd">REV02 </td><td class="confluenceTd">0GB </td><td class="confluenceTd">16MB </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td></tr><tr><td class="confluenceTd">TE0722-04-41C-4-A</td><td class="confluenceTd">10</td><td class="confluenceTd">REV04</td><td class="confluenceTd">0GB </td><td class="confluenceTd">16MB </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td></tr><tr><td class="confluenceTd">TE0722-04-41I-4-A*</td><td class="confluenceTd">10_i</td><td class="confluenceTd">REV04</td><td class="confluenceTd">0GB </td><td class="confluenceTd">16MB </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td><td class="confluenceTd">NA </td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong>*used as reference</strong></div></div></div></div><p><br/></p><p><br/></p><p>Additional HW Requirements:</p><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_AHW"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Additional Hardware</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">TE0790(for AMD) or other JTAG programmer</td><td class="confluenceTd"><p>for JTAG, UART</p></td></tr><tr><td class="confluenceTd">external 3.3V power supply</td><td class="confluenceTd"><br/></td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong></strong></div></div><h3 id="TE0722TestBoard-Content">Content</h3><p>For general structure and of the reference design, see <a href="https://wiki.trenz-electronic.de/display/PD/Project+Delivery+-+AMD+devices">Project Delivery - AMD devices</a></p><h4 id="TE0722TestBoard-DesignSources">Design Sources</h4><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_DS"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Type</th><th class="confluenceTh">Location</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">Vivado</td><td class="confluenceTd"><project folder>\block_design<br/><project folder>\constraints<br/><project folder>\ip_lib<br/><project folder>\board_files</td><td class="confluenceTd">Vivado Project will be generated by TE Scripts</td></tr><tr><td class="confluenceTd">Vitis</td><td class="confluenceTd"><project folder>\sw_lib</td><td class="confluenceTd">Additional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong></strong></div></div><h4 id="TE0722TestBoard-AdditionalSources">Additional Sources</h4><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_ADS"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Type</th><th class="confluenceTh">Location</th><th class="confluenceTh">Notes</th></tr><tr><td class="confluenceTd">--</td><td class="confluenceTd">--</td><td class="confluenceTd">--</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong></strong></div></div><h4 id="TE0722TestBoard-Prebuilt">Prebuilt</h4><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_PF"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh"><p>File</p></th><th class="confluenceTh"><p>File-Extension</p></th><th class="confluenceTh"><p>Description</p></th></tr><tr><td class="confluenceTd">BIF-File</td><td class="confluenceTd">*.bif</td><td class="confluenceTd">File with description to generate Bin-File</td></tr><tr><td colspan="1" class="confluenceTd">BIN-File</td><td colspan="1" class="confluenceTd">*.bin</td><td colspan="1" class="confluenceTd">Flash Configuration File with Boot-Image (Zynq-FPGAs)</td></tr><tr><td colspan="1" class="confluenceTd">BIT-File</td><td colspan="1" class="confluenceTd">*.bit</td><td colspan="1" class="confluenceTd">FPGA (PL Part) Configuration File</td></tr><tr><td colspan="1" class="confluenceTd">DebugProbes-File</td><td colspan="1" class="confluenceTd">*.ltx</td><td colspan="1" class="confluenceTd">Definition File for Vivado/Vivado Labtools Debugging Interface</td></tr><tr><td colspan="1" class="confluenceTd">Diverse Reports</td><td colspan="1" class="confluenceTd">---</td><td colspan="1" class="confluenceTd">Report files in different formats</td></tr><tr><td colspan="1" class="confluenceTd">Hardware-Platform-Specification-Files</td><td colspan="1" class="confluenceTd">*.xsa</td><td colspan="1" class="confluenceTd">Exported Vivado Hardware Specification for Vitis and PetaLinux</td></tr><tr><td colspan="1" class="confluenceTd">LabTools Project-File</td><td colspan="1" class="confluenceTd">*.lpr</td><td colspan="1" class="confluenceTd">Vivado Labtools Project File</td></tr><tr><td colspan="1" class="confluenceTd">Software-Application-File</td><td colspan="1" class="confluenceTd">*.elf</td><td colspan="1" class="confluenceTd">Software Application for Zynq or MicroBlaze Processor Systems</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong></strong></div></div><h4 id="TE0722TestBoard-Download">Download</h4><p>Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of AMD Software for the same Project.</p><ul><li><a class="external-link" href="https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic/Modules_and_Module_Carriers/special/TE0722/Reference_Design/2023.2/test_board">TE0722 "Test Board" Reference Design</a></li></ul><h2 id="TE0722TestBoard-DesignFlow">Design Flow</h2><hr/><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first lunch.</p></div></div><p>Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.</p><p>See also:</p><ul><li><a href="https://wiki.trenz-electronic.de/display/PD/AMD+Development+Tools#AMDDevelopmentTools-XilinxSoftware-BasicUserGuides">AMD Development Tools#XilinxSoftware-BasicUserGuides</a></li><li><a href="https://wiki.trenz-electronic.de/display/PD/Vivado+Projects+-+TE+Reference+Design">Vivado Projects - TE Reference Design</a></li><li><a href="https://wiki.trenz-electronic.de/display/PD/Project+Delivery+-+AMD+devices">Project Delivery.</a></li></ul><p>The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.</p><p>TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: <a href="https://wiki.trenz-electronic.de/display/PD/Project+Delivery+-+AMD+devices#ProjectDeliveryAMDdevices-Currentlylimitationsoffunctionality">Project Delivery Currently limitations of functionality</a></p><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p><strong>Caution!</strong> Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")</p></div></div><br/><ol><li><p class="auto-cursor-target">Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:</p><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>_create_win_setup.cmd/_create_linux_setup.sh</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: bash; gutter: false; theme: Midnight" data-theme="Midnight">------------------------Set design paths----------------------------
-- Run Design with: _create_win_setup
-- Use Design Path: <absolute project path>
--------------------------------------------------------------------
-------------------------TE Reference Design---------------------------
--------------------------------------------------------------------
-- (0) Module selection guide, project creation...prebuilt export...
-- (1) Create minimum setup of CMD-Files and exit Batch
-- (2) Create maximum setup of CMD-Files and exit Batch
-- (3) (internal only) Dev
-- (4) (internal only) Prod
-- (c) Go to CMD-File Generation (Manual setup)
-- (d) Go to Documentation (Web Documentation)
-- (g) Install Board Files from Xilinx Board Store (beta)
-- (a) Start design with unsupported Vivado Version (beta)
-- (x) Exit Batch (nothing is done!)
----
Select (ex.:'0' for module selection guide):</pre>
</div></div></li><li>Press 0 and enter to start "Module Selection Guide"</li><li>(optional Win OS) Generate Virtual Drive or use short directory for the reference design (for example x:\<design name>)</li><li>Create<span style="color: rgb(0,0,0);"> </span>project and follow instructions of the product selection guide, settings file will be configured automatically during this process.<ol><li><p class="auto-cursor-target">optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"</p><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Note: Select correct one, see also <a href="https://wiki.trenz-electronic.de/display/PD/Vivado+Board+Part+Flow">Vivado Board Part Flow</a></p></div></div></li></ol></li><li><p class="auto-cursor-target">Create <span style="color: rgb(0,0,0);">hardware description file (.xsa file) for PetaLinux project a</span>nd export to prebuilt folder</p><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>run on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: py; gutter: false; theme: Midnight" data-theme="Midnight">TE::hw_build_design -export_prebuilt</pre>
</div></div><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Using Vivado GUI is the same, except file export to prebuilt folder.</p></div></div></li><li>Generate Programming Files with Vitis<br/><ol><li><p class="auto-cursor-target">Run on Vivado TCL:</p><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>run on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: py; gutter: false; theme: Midnight" data-theme="Midnight">TE::sw_run_vitis -all</pre>
</div></div><p class="auto-cursor-target">Note: Scripts generate applications and bootable files, which are defined in "sw_lib\apps_list.csv"</p></li><li><p class="auto-cursor-target">(alternative) Start Vitis with Vivado GUI or start with TE Scripts on Vivado TCL: TE::sw_run_vitis<br/>Note: TCL scripts generate also platform project, this must be done manuelly in case GUI is used. See <a href="https://wiki.trenz-electronic.de/display/PD/Vitis">Vitis</a> <br/>Projects contains 3 FSBL template: zynq_fsbl (FSBL modified for DDR Less application → use for Boot.bin), zynq_fsbl_app (FSBL modified for DDR Less application and with demo app included → create Boot with this FSBL and Bitstream only), zynq_fsbl_flash(FSBL modified for Flash programming →FSBL which must be selected separately to program Flash)</p><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>TE0722 is without DDR, so special FSBL (sources on reference designs) is needed, see also: <a href="https://wiki.trenz-electronic.de/display/PD/DDR+less+ZYNQ+Design">DDR less ZYNQ Design</a></p></div></div></li></ol></li></ol><h2 id="TE0722TestBoard-Launch">Launch</h2><hr/><p>Basic Information, see <a href="https://wiki.trenz-electronic.de/display/PD/TE0722+Getting+Started">TE0722 Getting Started</a></p><h3 id="TE0722TestBoard-Programming">Programming</h3><div class="confluence-information-macro confluence-information-macro-note"><span class="aui-icon aui-icon-small aui-iconfont-warning confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Check Module and Carrier TRMs for proper HW configuration before you try any design.</p><p>Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.</p></div></div><br/><p>Xilinx documentation for programming and debugging: <a href="https://wiki.trenz-electronic.de/display/PD/AMD+Development+Tools#AMDDevelopmentTools-XilinxSoftwareProgrammingandDebugging">Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging</a></p><h4 id="TE0722TestBoard-Getprebuiltbootbinaries">Get prebuilt boot binaries</h4><ol><li>Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell</li><li>Press 0 and enter to start "Module Selection Guide"<ol><li>Select assembly version</li><li>Validate selection</li><li><p class="auto-cursor-target">Select create and open delivery binary folder</p><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated</p></div></div></li></ol></li></ol><br/><h4 id="TE0722TestBoard-QSPI-Bootmode">QSPI-Boot mode</h4><p>Set Board to JTAG Bootmode. Short pins of J4.</p><p>Option for<strong> Boot.bin</strong> on QSPI Flash</p><ol><li>Connect<strong> JTAG</strong> and power on carrier with module</li><li>Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"</li><li><p class="auto-cursor-target">Type on Vivado TCL Console:</p><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>run on Vivado TCL (Script programs BOOT.bin on QSPI flash)</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: py; gutter: false; theme: Midnight" data-theme="Midnight">TE::pr_program_flash -swapp zynq_fsbl_app
</pre>
</div></div></li></ol><h4 id="TE0722TestBoard-SD-Bootmode">SD-Boot mode</h4><p><span>Xilinx Zynq devices in CLG225 package do not support SD Card boot directly from ROM bootloader.</span> Use QSPI for primary boot and SD for secondary boot only. See also <a class="external-link" href="https://www.xilinx.com/support/answers/66846.html">Xilinx AR#66846</a></p><h4 id="TE0722TestBoard-JTAG">JTAG</h4><p>The JTAG Bootmode can be set on the newer pcb revisions, REV04+ (short both pins of J4)</p><h3 id="TE0722TestBoard-Usage">Usage</h3><ol><li>Prepare HW like described on section <a href="#TE0722TestBoard-Programming">Programming</a></li><li>Connect UART USB (most cases same as JTAG)</li><li><p class="auto-cursor-target">Power On PCB</p><div id="expander-1951901416" class="expand-container"><div role="heading" aria-level="6" id="expander-control-1951901416" class="expand-control"><button type="button" id="expand-button-1951901416" class="aui-button aui-button-link aui-button-link-icon-text" aria-expanded="true" aria-controls="expander-content-1951901416" ><span class="expand-icon aui-icon aui-icon-small aui-iconfont-chevron-down" aria-hidden="true"></span><span class="expand-control-text conf-macro-render">boot process</span></button></div><div role="region" id="expander-content-1951901416" class="expand-content" aria-labelledby="expand-button-1951901416"><p>1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,</p><p>2. FSBL init PS, programs PL using the bitstream</p><p>3. FSBL starts application (included into the FSBL Code)</p></div></div></li></ol><h4 id="TE0722TestBoard-StandaloneApplication">Standalone Application</h4><p>Note: UART over J2 is used, this is only available, if PL part is configured with correct UART connection.</p><ol><li>Open Serial Console <span class="folderdescr test"> (e.g. putty)</span><br/><ol><li>Speed: 115200</li><li><p class="auto-cursor-target">select COM Port<span class="folderdescr test"><br/></span></p><div class="confluence-information-macro confluence-information-macro-information"><span class="aui-icon aui-icon-small aui-iconfont-info confluence-information-macro-icon"></span><div class="confluence-information-macro-body"><p>Win OS, see device manager, Linux OS see <span class="folderdescr test">dmesg |grep tty (UART is *USB1)</span></p></div></div></li></ol></li><li><span class="folderdescr test">Output:</span><ol><li><span class="folderdescr test">Default output appears only a few seconds. Reboot device: force ResN pin to GND for short time, location see: <a href="https://wiki.trenz-electronic.de/display/PD/TE0722+Getting+Started">TE0722 Getting Started</a><br/>SD card FAT32 Format should be inserted for SD access.</span><br/><span class="folderdescr test"><span class="confluence-embedded-file-wrapper confluence-embedded-manual-size"><img class="confluence-embedded-image" draggable="false" width="600" src="https://wiki.trenz-electronic.de/download/attachments/58228982/image-2024-3-25_13-56-37.png?version=1&modificationDate=1711371397892&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/58228982/image-2024-3-25_13-56-37.png?version=1&modificationDate=1711371397892&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="227115166" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="image-2024-3-25_13-56-37.png" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/png" data-linked-resource-container-id="58228982" data-linked-resource-container-version="11" alt=""></span><br/><br/></span></li></ol></li></ol><h4 id="TE0722TestBoard-VivadoHWManager"><span class="folderdescr test">Vivado HW Manager</span></h4><p><span class="folderdescr test">Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder)</span></p><ul><li><span class="folderdescr test">Control: </span><ul><li><span class="folderdescr test">Enable/Disable RGB LED Counter (default on)</span></li><li><span class="folderdescr test">Enable/Disable different colors (default all off) - set to '1' to enable RGB LED<br/></span></li></ul></li></ul><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Figure_VHM"></span><div class="scroll-title-body"><span class="confluence-embedded-file-wrapper confluence-embedded-manual-size"><img class="confluence-embedded-image" draggable="false" height="250" src="https://wiki.trenz-electronic.de/download/attachments/58228982/image2019-5-14_16-45-28.png?version=1&modificationDate=1557845128119&api=v2" data-image-src="https://wiki.trenz-electronic.de/download/attachments/58228982/image2019-5-14_16-45-28.png?version=1&modificationDate=1557845128119&api=v2" data-unresolved-comment-count="0" data-linked-resource-id="78808530" data-linked-resource-version="1" data-linked-resource-type="attachment" data-linked-resource-default-alias="image2019-5-14_16-45-28.png" data-base-url="https://wiki.trenz-electronic.de" data-linked-resource-content-type="image/png" data-linked-resource-container-id="58228982" data-linked-resource-container-version="11" alt=""></span></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong>Vivado Hardware Manager</strong></div></div><h2 id="TE0722TestBoard-SystemDesign-Vivado">System Design - Vivado</h2><hr/><h3 id="TE0722TestBoard-BlockDesign">Block Design</h3><h4 id="TE0722TestBoard-trueTE0722_Blockdiagramm_infolayfalse600autotoptrue25801">
<div style="display:block;">
<div class="drawio-macro" data-macroId="08958b5c-48d5-470c-8263-2e66c26eb26a" data-buildNum="9103" id="drawio-macro-content-08958b5c-48d5-470c-8263-2e66c26eb26a" style="position:relative;display:inline-block;box-sizing:border-box;max-width:100%;overflow:hidden;border:1px solid transparent;"></div>
<div id="drawio-macro-data-08958b5c-48d5-470c-8263-2e66c26eb26a" style="display:none">eyJleHRTcnZJbnRlZ1R5cGUiOiIiLCJnQ2xpZW50SWQiOiIiLCJjcmVhdG9yTmFtZSI6IldhbGRlbWFyIEhhbmVtYW5uIiwib3V0cHV0VHlwZSI6ImJsb2NrIiwibGFzdE1vZGlmaWVyTmFtZSI6IldhbGRlbWFyIEhhbmVtYW5uIiwibGFuZ3VhZ2UiOiJlbiIsInVpQ29uZmlnIjoiIiwiZGlhZ3JhbURpc3BsYXlOYW1lIjoiIiwic0ZpbGVJZCI6IiIsImF0dElkIjoiMjI3MTE1MTcxIiwiZGlhZ3JhbU5hbWUiOiJURTA3MjJfQmxvY2tkaWFncmFtbV9pbmZvbGF5IiwiYXNwZWN0IjoiIiwibGlua3MiOiJhdXRvIiwiY2VvTmFtZSI6IlRFMDcyMiBUZXN0IEJvYXJkIiwidGJzdHlsZSI6InRvcCIsImNhbkNvbW1lbnQiOmZhbHNlLCJkaWFncmFtVXJsIjoiIiwiY3N2RmlsZVVybCI6IiIsImJvcmRlciI6dHJ1ZSwibWF4U2NhbGUiOiIxIiwib3duaW5nUGFnZUlkIjo1ODIyODk4MiwiZWRpdGFibGUiOmZhbHNlLCJjZW9JZCI6NTgyMjg5ODIsInBhZ2VJZCI6IiIsImxib3giOnRydWUsInNlcnZlckNvbmZpZyI6eyJlbWFpbHByZXZpZXciOiIxIn0sIm9kcml2ZUlkIjoiIiwicmV2aXNpb24iOjEsIm1hY3JvSWQiOiIwODk1OGI1Yy00OGQ1LTQ3MGMtODI2My0yZTY2YzI2ZWIyNmEiLCJwcmV2aWV3TmFtZSI6IlRFMDcyMl9CbG9ja2RpYWdyYW1tX2luZm9sYXkucG5nIiwibGljZW5zZVN0YXR1cyI6Ik9LIiwic2VydmljZSI6IiIsImlzVGVtcGxhdGUiOiIiLCJ3aWR0aCI6IjYwMCIsInNpbXBsZVZpZXdlciI6ZmFsc2UsImxhc3RNb2RpZmllZCI6MTcxMTM3NDc1MTE4NCwiZXhjZWVkUGFnZVdpZHRoIjpmYWxzZSwib0NsaWVudElkIjoiIn0=</div>
</div>
</h4><h4 id="TE0722TestBoard-PSInterfaces">PS Interfaces</h4><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_PSI"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped relative-table confluenceTable" style="width: 26.8495%;"><colgroup><col style="width: 20.1616%;"/><col style="width: 79.932%;"/></colgroup><tbody><tr><th class="confluenceTh">Type</th><th class="confluenceTh">Note</th></tr><tr><td class="confluenceTd">DDR</td><td class="confluenceTd"><span style="color: rgb(255,0,0);">Disabled!</span></td></tr><tr><td class="confluenceTd">QSPI</td><td class="confluenceTd">MIO</td></tr><tr><td class="confluenceTd">SD</td><td class="confluenceTd">MIO</td></tr><tr><td class="confluenceTd">UART0</td><td class="confluenceTd">EMIO</td></tr><tr><td class="confluenceTd">I2C1</td><td class="confluenceTd">MIO</td></tr><tr><td class="confluenceTd">GPIO</td><td class="confluenceTd">MIO</td></tr><tr><td class="confluenceTd">SWDT0</td><td class="confluenceTd">EMIO</td></tr><tr><td class="confluenceTd">TTC0..1</td><td class="confluenceTd">EMIO</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong>PS Interfaces</strong></div></div><h3 id="TE0722TestBoard-Constraints">Constraints</h3><h4 id="TE0722TestBoard-Basicmoduleconstraints">Basic module constraints</h4><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>_i_bitgen_common.xdc</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: ruby; gutter: false; theme: Confluence" data-theme="Confluence">#
# Common BITGEN related settings for TE0722
#
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLUP [current_design]</pre>
</div></div><h4 id="TE0722TestBoard-Designspecificconstraints">Design specific constraints</h4><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>_i_uart_j2xmod.xdc</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: ruby; gutter: false; theme: Confluence" data-theme="Confluence">set_property PACKAGE_PIN K15 [get_ports UART_0_txd]
set_property PACKAGE_PIN L13 [get_ports UART_0_rxd]
set_property IOSTANDARD LVCMOS33 [get_ports UART_0_*]</pre>
</div></div><div class="code panel pdl" style="border-width: 1px;"><div class="codeHeader panelHeader pdl" style="border-bottom-width: 1px;"><b>_i_io.xdc</b></div><div class="codeContent panelContent pdl">
<pre class="syntaxhighlighter-pre" data-syntaxhighlighter-params="brush: ruby; gutter: false; theme: Confluence" data-theme="Confluence">#RGB LED
#R
set_property PACKAGE_PIN J15 [get_ports {RGB_LED[0]}]
#G
set_property PACKAGE_PIN L14 [get_ports {RGB_LED[1]}]
#B
set_property PACKAGE_PIN K12 [get_ports {RGB_LED[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {RGB_LED[*]}]
</pre>
</div></div><h2 id="TE0722TestBoard-SoftwareDesign-Vitis">Software Design - Vitis</h2><hr/><p>For Vitis project creation, follow instructions from:</p><p><a href="https://wiki.trenz-electronic.de/display/PD/Vitis">Vitis</a></p><h3 id="TE0722TestBoard-Application">Application</h3><p>Source location: \sw_lib\sw_apps</p><h4 id="TE0722TestBoard-zynq_fsbl.1">zynq_fsbl</h4><p>TE modified 2019.2 FSBL</p><p>General:</p><ul><li>Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)</li><li><p>Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\</p></li><li>General Changes: <br/><ul><li>Display FSBL Banner and Device ID</li><li><span style="color: rgb(255,0,0);">Disable Memory initialisation on main.c</span></li></ul></li></ul><h4 id="TE0722TestBoard-zynq_fsbl_app">zynq_fsbl_app</h4><p>TE modified 2019.2 FSBL</p><p>General:</p><ul><li>Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)</li><li><p>Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\</p></li><li>General Changes: <br/><ul><li>Display FSBL Banner and Device ID</li><li><span style="color: rgb(255,0,0);">Disable Memory initialisation on main.c</span></li></ul></li></ul><p>Module Specific:</p><ul><li>Add Files: all TE Files start with te_*<br/><ul><li>Example app for LED access over MIO and sensor access(only pcb revisions 01 and 02) over I2C</li><li>RGB LED access via AXI GPIO</li><li>SD Card access rwrite/read file</li></ul></li></ul><h4 id="TE0722TestBoard-zynq_fsbl_flash.1">zynq_fsbl_flash</h4><p>TE modified 2019.2 FSBL</p><p>General:</p><ul><li>Modified Files: main.c</li><li>General Changes: <br/><ul><li>Display FSBL Banner</li><li>Set FSBL Boot Mode to JTAG</li><li><span style="color: rgb(255,0,0);">Disable Memory initialisation on main.c</span></li></ul></li></ul><p><br/></p><h2 id="TE0722TestBoard-AdditionalSoftware">Additional Software</h2><hr/><p>No additional software is needed.</p><h2 id="TE0722TestBoard-Appx.A:ChangeHistoryandLegalNotices">Appx. A: Change History and Legal Notices</h2><hr/><h3 id="TE0722TestBoard-DocumentChangeHistory">Document Change History</h3><p>To get content of older revision go to "Change History" of this page and select older document revision number.</p><div class="scroll-title-macro" style="overflow:auto;"><span class="confluence-anchor-link" id="TE0722TestBoard-Table_dch"></span><div class="scroll-title-body"><p></p><div class="table-wrap"><table class="wrapped confluenceTable"><colgroup><col/><col/><col/><col/></colgroup><tbody><tr><th class="confluenceTh">Date</th><th class="confluenceTh">Document Revision</th><th class="confluenceTh"><p>Authors</p></th><th class="confluenceTh">Description</th></tr><tr><td class="confluenceTd"><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div><div class="content-wrapper"><p><br/></p></div></td><td class="confluenceTd"><div class="content-wrapper"><p><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></div></td><td class="confluenceTd"><div class="content-wrapper"><p><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></div></td><td class="confluenceTd"><ul><li>2023.2 release</li></ul></td></tr><tr><td class="confluenceTd">2023-02-14<div class="content-wrapper"><p><br/></p></div></td><td class="confluenceTd">v.9<div class="content-wrapper"><p><br/></p></div></td><td class="confluenceTd">Waldemar Hanemann<br/><div class="content-wrapper"><p><br/></p></div></td><td class="confluenceTd"><ul><li>2021.2 release</li></ul></td></tr><tr><td style="text-align: left;" class="confluenceTd">2020-04-16<div class="content-wrapper"><p><br/></p></div></td><td style="text-align: left;" class="confluenceTd">v.8<div class="content-wrapper"><p><br/></p></div></td><td style="text-align: left;" class="confluenceTd"><span class="user-flat">John Hartfiel </span></td><td style="text-align: left;" class="confluenceTd"><ul><li>2019.2 release</li></ul></td></tr><tr><td class="confluenceTd">2020-04-16</td><td class="confluenceTd">v.7</td><td class="confluenceTd"><span class="user-flat">John Hartfiel </span></td><td class="confluenceTd"><ul><li>separate template for FSBL with App included</li></ul></td></tr><tr><td class="confluenceTd">2019-05-14</td><td class="confluenceTd">v.6</td><td class="confluenceTd"><span class="user-flat">John Hartfiel </span></td><td class="confluenceTd"><ul><li>2018.3 release</li></ul></td></tr><tr><td class="confluenceTd">2018-08-15</td><td class="confluenceTd">v.5</td><td class="confluenceTd"><span class="user-flat">John Hartfiel </span></td><td class="confluenceTd"><ul><li>2018.2 release</li></ul></td></tr><tr><td style="text-align: left;" class="confluenceTd">--</td><td style="text-align: left;" class="confluenceTd">all</td><td style="text-align: left;" class="confluenceTd"><div class="content-wrapper"><p><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></div></td><td style="text-align: left;" class="confluenceTd">--</td></tr></tbody></table></div></div><div class="scroll-title-macro-title" style="clear:both; text-align:left"><strong>Document change history.</strong></div></div><h3 id="TE0722TestBoard-LegalNotices">Legal Notices</h3><p><h3 id="TE0722TestBoard-DataPrivacy">Data Privacy</h3><p>Please also note our data protection declaration at <a class="external-link" href="https://www.trenz-electronic.de/en/Data-protection-Privacy">https://www.trenz-electronic.de/en/Data-protection-Privacy</a></p><h3 id="TE0722TestBoard-DocumentWarranty">Document Warranty</h3><p>The material contained in this document is provided “as is” and is subject to being changed at any time without notice. Trenz Electronic does not warrant the accuracy and completeness of the materials in this document. Further, to the maximum extent permitted by applicable law, Trenz Electronic disclaims all warranties, either express or implied, with regard to this document and any information contained herein, including but not limited to the implied warranties of merchantability, fitness for a particular purpose or non infringement of intellectual property. Trenz Electronic shall not be liable for errors or for incidental or consequential damages in connection with the furnishing, use, or performance of this document or of any information contained herein.</p><h3 class="western" id="TE0722TestBoard-LimitationofLiability">Limitation of Liability</h3><p>In no event will Trenz Electronic, its suppliers, or other third parties mentioned in this document be liable for any damages whatsoever (including, without limitation, those resulting from lost profits, lost data or business interruption) arising out of the use, inability to use, or the results of use of this document, any documents linked to this document, or the materials or information contained at any or all such documents. If your use of the materials or information from this document results in the need for servicing, repair or correction of equipment or data, you assume all costs thereof.</p><h3 class="western" id="TE0722TestBoard-CopyrightNotice">Copyright Notice</h3><p>No part of this manual may be reproduced in any form or by any means (including electronic storage and retrieval or translation into a foreign language) without prior agreement and written consent from Trenz Electronic.</p><h3 class="western" id="TE0722TestBoard-TechnologyLicenses">Technology Licenses</h3><p>The hardware / firmware / software described in this document are furnished under a license and may be used /modified / copied only in accordance with the terms of such license.</p><h3 class="western" id="TE0722TestBoard-EnvironmentalProtection">Environmental Protection</h3><p>To confront directly with the responsibility toward the environment, the global community and eventually also oneself. Such a resolution should be integral part not only of everybody's life. Also enterprises shall be conscious of their social responsibility and contribute to the preservation of our common living space. That is why Trenz Electronic invests in the protection of our Environment.</p><p><span class="scroll-pi" type="pagebreak" style="display:none;"></span></p><h3 class="western" id="TE0722TestBoard-REACH,RoHSandWEEE">REACH, RoHS and WEEE</h3><p><strong>REACH</strong></p><p>Trenz Electronic is a manufacturer and a distributor of electronic products. It is therefore a so called downstream user in the sense of <a class="external-link" href="http://guidance.echa.europa.eu/">REACH</a>. The products we supply to you are solely non-chemical products (goods). Moreover and under normal and reasonably foreseeable circumstances of application, the goods supplied to you shall not release any substance. For that, Trenz Electronic is obliged to neither register nor to provide safety data sheet. According to present knowledge and to best of our knowledge, no <a class="external-link" href="https://echa.europa.eu/candidate-list-table">SVHC (Substances of Very High Concern) on the Candidate List</a> are contained in our products. Furthermore, we will immediately and unsolicited inform our customers in compliance with REACH - Article 33 if any substance present in our goods (above a concentration of 0,1 % weight by weight) will be classified as SVHC by the <a class="external-link" href="http://www.echa.europa.eu/">European Chemicals Agency (ECHA)</a>.</p><p class="western"><strong>RoHS</strong></p><p>Trenz Electronic GmbH herewith declares that all its products are developed, manufactured and distributed RoHS compliant.</p><p class="western"><strong>WEEE</strong></p><p>Information for users within the European Union in accordance with Directive 2002/96/EC of the European Parliament and of the Council of 27 January 2003 on waste electrical and electronic equipment (WEEE).</p><p>Users of electrical and electronic equipment in private households are required not to dispose of waste electrical and electronic equipment as unsorted municipal waste and to collect such waste electrical and electronic equipment separately. By the 13 August 2005, Member States shall have ensured that systems are set up allowing final holders and distributors to return waste electrical and electronic equipment at least free of charge. Member States shall ensure the availability and accessibility of the necessary collection facilities. Separate collection is the precondition to ensure specific treatment and recycling of waste electrical and electronic equipment and is necessary to achieve the chosen level of protection of human health and the environment in the European Union. Consumers have to actively contribute to the success of such collection and the return of waste electrical and electronic equipment. Presence of hazardous substances in electrical and electronic equipment results in potential effects on the environment and human health. The symbol consisting of the crossed-out wheeled bin indicates separate collection for waste electrical and electronic equipment.</p><p style="margin-left: 0.0px;">Trenz Electronic is registered under WEEE-Reg.-Nr. DE97922676.</p><p style="margin-left: 0.0px;"><br/></p><p style="margin-left: 0.0px;"><div class="aui-message aui-message-error"><p class="title"><strong>Error rendering macro 'page-info'</strong></p><p>Ambiguous method overloading for method jdk.proxy244.$Proxy3572#hasContentLevelPermission.
Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between:
[interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]
[interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]</p></div></p></p><p><br/></p><p><br/></p></div>
</div>
<div class="cell aside" data-type="aside">
<div class="innerCell">
<p><br/>
<div class="fixed">
<h2 id="TE0722TestBoard-Tableofcontents">Table of contents</h2><p class="auto-cursor-target"><style type="text/css">/*<![CDATA[*/
div.rbtoc1711702106889 {padding: 0px;}
div.rbtoc1711702106889 ul {margin-left: 0px;}
div.rbtoc1711702106889 li {margin-left: 0px;padding-left: 0px;}
/*]]>*/</style></p><div class="toc-macro rbtoc1711702106889">
<ul class="toc-indentation">
<li><span class="TOCOutline">1</span> <a href="#TE0722TestBoard-Overview">Overview</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">1.1</span> <a href="#TE0722TestBoard-KeyFeatures">Key Features</a></li>
<li><span class="TOCOutline">1.2</span> <a href="#TE0722TestBoard-RevisionHistory">Revision History</a></li>
<li><span class="TOCOutline">1.3</span> <a href="#TE0722TestBoard-ReleaseNotesandKnowIssues">Release Notes and Know Issues</a></li>
<li><span class="TOCOutline">1.4</span> <a href="#TE0722TestBoard-Requirements">Requirements</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">1.4.1</span> <a href="#TE0722TestBoard-Software">Software</a></li>
<li><span class="TOCOutline">1.4.2</span> <a href="#TE0722TestBoard-Hardware">Hardware</a></li>
</ul>
</li>
<li><span class="TOCOutline">1.5</span> <a href="#TE0722TestBoard-Content">Content</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">1.5.1</span> <a href="#TE0722TestBoard-DesignSources">Design Sources</a></li>
<li><span class="TOCOutline">1.5.2</span> <a href="#TE0722TestBoard-AdditionalSources">Additional Sources</a></li>
<li><span class="TOCOutline">1.5.3</span> <a href="#TE0722TestBoard-Prebuilt">Prebuilt</a></li>
<li><span class="TOCOutline">1.5.4</span> <a href="#TE0722TestBoard-Download">Download</a></li>
</ul>
</li>
</ul>
</li>
<li><span class="TOCOutline">2</span> <a href="#TE0722TestBoard-DesignFlow">Design Flow</a></li>
<li><span class="TOCOutline">3</span> <a href="#TE0722TestBoard-Launch">Launch</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">3.1</span> <a href="#TE0722TestBoard-Programming">Programming</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">3.1.1</span> <a href="#TE0722TestBoard-Getprebuiltbootbinaries">Get prebuilt boot binaries</a></li>
<li><span class="TOCOutline">3.1.2</span> <a href="#TE0722TestBoard-QSPI-Bootmode">QSPI-Boot mode</a></li>
<li><span class="TOCOutline">3.1.3</span> <a href="#TE0722TestBoard-SD-Bootmode">SD-Boot mode</a></li>
<li><span class="TOCOutline">3.1.4</span> <a href="#TE0722TestBoard-JTAG">JTAG</a></li>
</ul>
</li>
<li><span class="TOCOutline">3.2</span> <a href="#TE0722TestBoard-Usage">Usage</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">3.2.1</span> <a href="#TE0722TestBoard-StandaloneApplication">Standalone Application</a></li>
<li><span class="TOCOutline">3.2.2</span> <a href="#TE0722TestBoard-VivadoHWManager">Vivado HW Manager</a></li>
</ul>
</li>
</ul>
</li>
<li><span class="TOCOutline">4</span> <a href="#TE0722TestBoard-SystemDesign-Vivado">System Design - Vivado</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">4.1</span> <a href="#TE0722TestBoard-BlockDesign">Block Design</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">4.1.1</span> <a href="#TE0722TestBoard-trueTE0722_Blockdiagramm_infolayfalse600autotoptrue25801">eyJleHRTcnZJbnRlZ1R5cGUiOiIiLCJnQ2xpZW50SWQiOiIiLCJjcmVhdG9yTmFtZSI6IldhbGRlbWFyIEhhbmVtYW5uIiwib3V0cHV0VHlwZSI6ImJsb2NrIiwibGFzdE1vZGlmaWVyTmFtZSI6IldhbGRlbWFyIEhhbmVtYW5uIiwibGFuZ3VhZ2UiOiJlbiIsInVpQ29uZmlnIjoiIiwiZGlhZ3JhbURpc3BsYXlOYW1lIjoiIiwic0ZpbGVJZCI6IiIsImF0dElkIjoiMjI3MTE1MTcxIiwiZGlhZ3JhbU5hbWUiOiJURTA3MjJfQmxvY2tkaWFncmFtbV9pbmZvbGF5IiwiYXNwZWN0IjoiIiwibGlua3MiOiJhdXRvIiwiY2VvTmFtZSI6IlRFMDcyMiBUZXN0IEJvYXJkIiwidGJzdHlsZSI6InRvcCIsImNhbkNvbW1lbnQiOmZhbHNlLCJkaWFncmFtVXJsIjoiIiwiY3N2RmlsZVVybCI6IiIsImJvcmRlciI6dHJ1ZSwibWF4U2NhbGUiOiIxIiwib3duaW5nUGFnZUlkIjo1ODIyODk4MiwiZWRpdGFibGUiOmZhbHNlLCJjZW9JZCI6NTgyMjg5ODIsInBhZ2VJZCI6IiIsImxib3giOnRydWUsInNlcnZlckNvbmZpZyI6eyJlbWFpbHByZXZpZXciOiIxIn0sIm9kcml2ZUlkIjoiIiwicmV2aXNpb24iOjEsIm1hY3JvSWQiOiIwODk1OGI1Yy00OGQ1LTQ3MGMtODI2My0yZTY2YzI2ZWIyNmEiLCJwcmV2aWV3TmFtZSI6IlRFMDcyMl9CbG9ja2RpYWdyYW1tX2luZm9sYXkucG5nIiwibGljZW5zZVN0YXR1cyI6Ik9LIiwic2VydmljZSI6IiIsImlzVGVtcGxhdGUiOiIiLCJ3aWR0aCI6IjYwMCIsInNpbXBsZVZpZXdlciI6ZmFsc2UsImxhc3RNb2RpZmllZCI6MTcxMTM3NDc1MTE4NCwiZXhjZWVkUGFnZVdpZHRoIjpmYWxzZSwib0NsaWVudElkIjoiIn0=</a></li>
<li><span class="TOCOutline">4.1.2</span> <a href="#TE0722TestBoard-PSInterfaces">PS Interfaces</a></li>
</ul>
</li>
<li><span class="TOCOutline">4.2</span> <a href="#TE0722TestBoard-Constraints">Constraints</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">4.2.1</span> <a href="#TE0722TestBoard-Basicmoduleconstraints">Basic module constraints</a></li>
<li><span class="TOCOutline">4.2.2</span> <a href="#TE0722TestBoard-Designspecificconstraints">Design specific constraints</a></li>
</ul>
</li>
</ul>
</li>
<li><span class="TOCOutline">5</span> <a href="#TE0722TestBoard-SoftwareDesign-Vitis">Software Design - Vitis</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">5.1</span> <a href="#TE0722TestBoard-Application">Application</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">5.1.1</span> <a href="#TE0722TestBoard-zynq_fsbl.1">zynq_fsbl</a></li>
<li><span class="TOCOutline">5.1.2</span> <a href="#TE0722TestBoard-zynq_fsbl_app">zynq_fsbl_app</a></li>
<li><span class="TOCOutline">5.1.3</span> <a href="#TE0722TestBoard-zynq_fsbl_flash.1">zynq_fsbl_flash</a></li>
</ul>
</li>
</ul>
</li>
<li><span class="TOCOutline">6</span> <a href="#TE0722TestBoard-AdditionalSoftware">Additional Software</a></li>
<li><span class="TOCOutline">7</span> <a href="#TE0722TestBoard-Appx.A:ChangeHistoryandLegalNotices">Appx. A: Change History and Legal Notices</a>
<ul class="toc-indentation">
<li><span class="TOCOutline">7.1</span> <a href="#TE0722TestBoard-DocumentChangeHistory">Document Change History</a></li>
<li><span class="TOCOutline">7.2</span> <a href="#TE0722TestBoard-LegalNotices">Legal Notices</a></li>
<li><span class="TOCOutline">7.3</span> <a href="#TE0722TestBoard-DataPrivacy">Data Privacy</a></li>
<li><span class="TOCOutline">7.4</span> <a href="#TE0722TestBoard-DocumentWarranty">Document Warranty</a></li>
<li><span class="TOCOutline">7.5</span> <a href="#TE0722TestBoard-LimitationofLiability">Limitation of Liability</a></li>
<li><span class="TOCOutline">7.6</span> <a href="#TE0722TestBoard-CopyrightNotice">Copyright Notice</a></li>
<li><span class="TOCOutline">7.7</span> <a href="#TE0722TestBoard-TechnologyLicenses">Technology Licenses</a></li>
<li><span class="TOCOutline">7.8</span> <a href="#TE0722TestBoard-EnvironmentalProtection">Environmental Protection</a></li>
<li><span class="TOCOutline">7.9</span> <a href="#TE0722TestBoard-REACH,RoHSandWEEE">REACH, RoHS and WEEE</a></li>
</ul>
</li>
<li><span class="TOCOutline">8</span> <a href="#TE0722TestBoard-Tableofcontents">Table of contents</a></li>
</ul>
</div><p />
</div>
<style>
.fixed {
position: fixed; height:60%; overflow-y: auto ;
}
</style></p><p><br/></p></div>
</div>
</div>
</div>
<div class="content-wrapper"><p><br/></p></div>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/TE0722+Test+Board">View Online</a>
·
<a href="https://wiki.trenz-electronic.de/pages/diffpagesbyversion.action?pageId=58228982&revisedVersion=11&originalVersion=10">View Changes Online</a>
</div>
</div>
Waldemar Hanemann
2018-08-14T14:39:30Z
TE0722 Test Board > TE0722_Blockdiagramm_infolay.png
Waldemar Hanemann
tag:wiki.trenz-electronic.de,2009:attachment-227115172-1
2024-03-25T13:52:31Z
2024-03-25T13:52:31Z
<div class="feed"> <p>File
<b>attached</b> by
<a href=" https://wiki.trenz-electronic.de/display/~w.hanemann
">Waldemar Hanemann</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<p>
<span class="aui-icon content-type-attachment-image" title="PNG File">PNG File</span> <a href="https://wiki.trenz-electronic.de/download/attachments/58228982/TE0722_Blockdiagramm_infolay.png?version=1&modificationDate=1711374751239&api=v2">TE0722_Blockdiagramm_infolay.png</a> <span class="smalltext">(492 kB)</span>
- TE0722_Blockdiagramm_infolay exported to image
<br/>
</p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/pages/viewpageattachments.action?pageId=58228982">View Attachments</a>
</div>
</div>
Waldemar Hanemann
2024-03-25T13:52:31Z
TE0722 Test Board > TE0722_Blockdiagramm_infolay
Waldemar Hanemann
tag:wiki.trenz-electronic.de,2009:attachment-227115171-1
2024-03-25T13:52:31Z
2024-03-25T13:52:31Z
<div class="feed"> <p>File
<b>attached</b> by
<a href=" https://wiki.trenz-electronic.de/display/~w.hanemann
">Waldemar Hanemann</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<p>
<span class="aui-icon content-type-attachment-unknown" title="File">File</span> <a href="https://wiki.trenz-electronic.de/download/attachments/58228982/TE0722_Blockdiagramm_infolay?version=1&modificationDate=1711374751184&api=v2">TE0722_Blockdiagramm_infolay</a> <span class="smalltext">(267 kB)</span>
- draw.io diagram
<br/>
</p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/pages/viewpageattachments.action?pageId=58228982">View Attachments</a>
</div>
</div>
Waldemar Hanemann
2024-03-25T13:52:31Z
TE0722 Test Board > image-2024-3-25_13-56-37.png
Waldemar Hanemann
tag:wiki.trenz-electronic.de,2009:attachment-227115166-1
2024-03-25T12:56:37Z
2024-03-25T12:56:37Z
<div class="feed"> <p>File
<b>attached</b> by
<a href=" https://wiki.trenz-electronic.de/display/~w.hanemann
">Waldemar Hanemann</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<p>
<span class="aui-icon content-type-attachment-image" title="PNG File">PNG File</span> <a href="https://wiki.trenz-electronic.de/download/attachments/58228982/image-2024-3-25_13-56-37.png?version=1&modificationDate=1711371397892&api=v2">image-2024-3-25_13-56-37.png</a> <span class="smalltext">(131 kB)</span>
<br/>
</p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/pages/viewpageattachments.action?pageId=58228982">View Attachments</a>
</div>
</div>
Waldemar Hanemann
2024-03-25T12:56:37Z
TE0722 Test Board > image-2024-3-25_13-12-51.png
Waldemar Hanemann
tag:wiki.trenz-electronic.de,2009:attachment-227115161-1
2024-03-25T12:12:51Z
2024-03-25T12:12:51Z
<div class="feed"> <p>File
<b>attached</b> by
<a href=" https://wiki.trenz-electronic.de/display/~w.hanemann
">Waldemar Hanemann</a>
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<p>
<span class="aui-icon content-type-attachment-image" title="PNG File">PNG File</span> <a href="https://wiki.trenz-electronic.de/download/attachments/58228982/image-2024-3-25_13-12-51.png?version=1&modificationDate=1711368771813&api=v2">image-2024-3-25_13-12-51.png</a> <span class="smalltext">(141 kB)</span>
<br/>
</p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/pages/viewpageattachments.action?pageId=58228982">View Attachments</a>
</div>
</div>
Waldemar Hanemann
2024-03-25T12:12:51Z
Cooling Solutions
Vadim Yunitski
tag:wiki.trenz-electronic.de,2009:page-107057420-58
2024-03-22T13:50:12Z
2020-09-02T13:20:27Z
<div class="feed"> <p>
Page
<b>edited</b> by
<a href=" https://wiki.trenz-electronic.de/display/~v.yunitzki
">Vadim Yunitski</a>
- "33337: added support of older revisions"
</p>
<div style="border-top: 1px solid #ddd; border-bottom: 1px solid #ddd; padding: 10px;">
<p><style type='text/css'>/*<![CDATA[*/
div.rbtoc1711702106926 {padding: 0px;}
div.rbtoc1711702106926 ul {margin-left: 0px;}
div.rbtoc1711702106926 li {margin-left: 0px;padding-left: 0px;}
/*]]>*/</style><div class='toc-macro rbtoc1711702106926'>
<ul class='toc-indentation'>
<li><a href='#CoolingSolutions-Overview'>Overview</a></li>
<li><a href='#CoolingSolutions-References'>References</a></li>
<li><a href='#CoolingSolutions-WikiLinks'>Wiki Links</a></li>
</ul>
</div></p><h2 id="CoolingSolutions-Overview">Overview</h2><div class="table-wrap"><table class="relative-table wrapped confluenceTable" style="width: 90.3171%;"><colgroup><col style="width: 6.23014%;"/><col style="width: 9.85987%;"/><col style="width: 3.95478%;"/><col style="width: 7.96374%;"/><col style="width: 3.68391%;"/><col style="width: 6.60936%;"/><col style="width: 3.68391%;"/><col style="width: 3.95478%;"/><col style="width: 54.0667%;"/></colgroup><tbody><tr><th style="width: 148.0px;" class="confluenceTh">TE Series</th><th style="width: 139.0px;" class="confluenceTh"><p style="text-align: center;">REV</p></th><th style="width: 50.0px;" class="confluenceTh"><br/></th><th style="width: 118.0px;" class="confluenceTh">Heat spreader</th><th style="width: 52.0px;text-align: left;" class="confluenceTh">REV</th><th style="width: 145.0px;" class="confluenceTh">Heat sink</th><th style="text-align: left;width: 105.0px;" class="confluenceTh">REV</th><th style="width: 50.0px;" class="confluenceTh"><br/></th><th style="width: 995.0px;" class="confluenceTh">Remark</th></tr><tr><td style="width: 148.0px;" class="confluenceTd"><p><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0600">TE0600</a></p></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">03, 04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/26920+Resources">26920</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="width: 148.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0710">TE0710</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">00, 01, 02, 03</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/26925+Resources">26925</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="width: 148.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0712">TE0712</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">01, 02, 03</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/26924+Resources">26924</a></td><td style="width: 105.0px;text-align: left;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="width: 148.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0713">TE0713</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">01, 02</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/26924+Resources">26924</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="width: 148.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0714">TE0714</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">02, 03</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0714+Resources">KK0714</a></td><td style="width: 52.0px;" class="confluenceTd">02</td><td style="width: 145.0px;" class="confluenceTd">—</td><td style="width: 105.0px;text-align: left;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="width: 148.0px;" class="confluenceTd"><br/></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0714+Resources">KK0714</a></td><td style="width: 52.0px;" class="confluenceTd">04</td><td style="width: 145.0px;" class="confluenceTd">—</td><td style="width: 105.0px;text-align: left;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="width: 148.0px;" class="confluenceTd"><p><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0715">TE0715</a></p></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">01, 02, 03, 04, 05</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/26923+Resources">26923</a></td><td style="width: 105.0px;text-align: left;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td rowspan="2" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0720">TE0720</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">01, 02, 03</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/26922+Resources">26922</a></td><td style="width: 105.0px;text-align: left;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="text-align: right;width: 139.0px;" class="confluenceTd">01, 02, 03, 04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/33337+Resources">33337</a></td><td style="width: 105.0px;text-align: left;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td rowspan="2" style="width: 148.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0724">TE0724</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">02, 03, 04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/30832+Resources">30832</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="text-align: right;width: 139.0px;" class="confluenceTd">04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd">—</td><td style="width: 52.0px;" class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/30829-BGA-Kuehlkoerper-fuer-Trenz-Electronic-Modul-TE0724-04-17-x-17-x-17-5-mm">30829</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">BGA clip on heat sink.</td></tr><tr><td style="width: 148.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0729">TE0729</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">02</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0729+Resources">KK0729</a></td><td style="width: 52.0px;" class="confluenceTd">02TE</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">01</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">Rev 02TE heat spreader is compatible with <a class="external-link" href="https://shop.trenz-electronic.de/en/KK0001-01-Extension-for-Heat-Spreaders-from-Trenz-Electronic">KK0001-01</a>.</td></tr><tr><td rowspan="3" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0741">TE0741</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">01, 02, 03</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd">—</td><td style="width: 52.0px;" class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/26921+Resources">26921</a></td><td style="width: 105.0px;text-align: left;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td rowspan="2" style="text-align: right;" class="confluenceTd">04, 05</td><th rowspan="2" class="confluenceTh"><br/></th><td rowspan="2" class="confluenceTd">—</td><td rowspan="2" class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/33013">33013</a></td><td style="width: 105.0px;text-align: left;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/33866">33866</a></td><td style="width: 105.0px;text-align: left;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">Heatsink for TE0741-04 and TE0741-05</td></tr><tr><td rowspan="4" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0745">TE0745</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">02</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0745+Resources">KK0745</a></td><td style="width: 52.0px;" class="confluenceTd">02</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="width: 105.0px;text-align: left;" class="confluenceTd">01</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">The Heatspreader is compatible with <a class="external-link" href="https://shop.trenz-electronic.de/en/KK0001-01-Extension-for-Heat-Spreaders-from-Trenz-Electronic">KK0001-01</a>.</td></tr><tr><td rowspan="3" style="text-align: right;" class="confluenceTd">03</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td rowspan="3" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0745+Resources">KK0745</a></td><td rowspan="3" class="confluenceTd">03</td><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;" class="confluenceTd">01</td><th class="confluenceTh"><br/></th><td class="confluenceTd">The Heatspreader is compatible with <a class="external-link" href="https://shop.trenz-electronic.de/en/KK0001-01-Extension-for-Heat-Spreaders-from-Trenz-Electronic">KK0001-01.</a></td></tr><tr><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33663-Radian-Sub-Zero-PCIe-Fansink-SZ12L-50-x-64-x-10.5-mm-12V">33663</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33663 – SZ12L, 12V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set</td></tr><tr><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33664-Radian-Sub-Zero-PCIe-Fansink-SZ5L-50-x-64-x-10.5-mm-5V">33664</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33664 – SZ05L, 5V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set </td></tr><tr><td rowspan="6" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0803">TE0803</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">03</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0803+Resources">KK0803</a></td><td style="width: 52.0px;" class="confluenceTd">03A</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">01</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">Rev 03A heat spreader is compatible with <a class="external-link" href="https://shop.trenz-electronic.de/en/KK0001-01-Extension-for-Heat-Spreaders-from-Trenz-Electronic">KK0001-01</a>, Rev 03 not.</td></tr><tr><td style="text-align: right;width: 139.0px;" class="confluenceTd">03, 04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0803+Resources">KK0803</a></td><td style="width: 52.0px;" class="confluenceTd">04</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">01</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">The Heatspreader is compatible with <a class="external-link" href="https://shop.trenz-electronic.de/en/KK0001-01-Extension-for-Heat-Spreaders-from-Trenz-Electronic">KK0001-01</a>.</td></tr><tr><td rowspan="3" style="text-align: right;" class="confluenceTd">03, 04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td rowspan="3" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0803+Resources">KK0803</a></td><td rowspan="3" class="confluenceTd">04A</td><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;" class="confluenceTd">01</td><th class="confluenceTh"><br/></th><td class="confluenceTd">The Heatspreader is compatible with <a class="external-link" href="https://shop.trenz-electronic.de/en/KK0001-01-Extension-for-Heat-Spreaders-from-Trenz-Electronic">KK0001-01</a>.</td></tr><tr><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33663-Radian-Sub-Zero-PCIe-Fansink-SZ12L-50-x-64-x-10.5-mm-12V">33663</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33663 – SZ12L, 12V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set</td></tr><tr><th style="width: 50.0px;" class="confluenceTh"><br/></th><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33664-Radian-Sub-Zero-PCIe-Fansink-SZ5L-50-x-64-x-10.5-mm-5V">33664</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33664 – SZ05L, 5V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set </td></tr><tr><td style="text-align: right;width: 139.0px;" class="confluenceTd">04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd">—</td><td style="width: 52.0px;" class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/en/29665-Heat-Sink-SuperGrip-for-Trenz-Electronic-MPSoC-Modules-TE0803">29665</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><p>Heatsink Type: maxiFLOW Heat Sink Attachment: superGRIP</p></td></tr><tr><td style="width: 148.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0807">TE0807</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">02, 03</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0807+Resources">KK0807</a></td><td style="width: 52.0px;" class="confluenceTd">02A</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">01</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">The Heatspreader is compatible with <a class="external-link" href="https://shop.trenz-electronic.de/en/KK0001-01-Extension-for-Heat-Spreaders-from-Trenz-Electronic">KK0001-01</a>, <em>(Rev 02 without A not)</em></td></tr><tr><td rowspan="5" style="width: 148.0px;" class="confluenceTd"><p><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0808">TE0808</a></p></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">03, 04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0808+Resources">KK0808</a></td><td style="width: 52.0px;" class="confluenceTd">03</td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">01</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">Rev 03 heat spreader is compatible with <a class="external-link" href="https://shop.trenz-electronic.de/en/KK0001-01-Extension-for-Heat-Spreaders-from-Trenz-Electronic">KK0001-01</a>.</td></tr><tr><td rowspan="4" style="text-align: right;width: 139.0px;" class="confluenceTd">05</td><th rowspan="4" style="width: 50.0px;" class="confluenceTh"><br/><br/><br/><br/></th><td rowspan="3" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0808+Resources">KK0808</a></td><td rowspan="3" class="confluenceTd">05<br/><br/></td><td style="width: 145.0px;" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">01</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">Rev 05 heat spreader is compatible with <a class="external-link" href="https://shop.trenz-electronic.de/en/KK0001-01-Extension-for-Heat-Spreaders-from-Trenz-Electronic">KK0001-01.</a></td></tr><tr><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33663-Radian-Sub-Zero-PCIe-Fansink-SZ12L-50-x-64-x-10.5-mm-12V">33663</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33663 – SZ12L, 12V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set</td></tr><tr><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33664-Radian-Sub-Zero-PCIe-Fansink-SZ5L-50-x-64-x-10.5-mm-5V">33664</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33664 – SZ05L, 5V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set </td></tr><tr><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/en/29664-Kuehlkoerper-SuperGrip-fuer-Trenz-Electronic-TE0808-MPSoC-nur-REV05">29664</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd">Heatsink Type: maxiFLOW Heat Sink Attachment: superGRIP</td></tr><tr><td rowspan="4" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0813">TE0813</a></td><td rowspan="4" style="text-align: right;" class="confluenceTd">01, 02</td><th rowspan="4" class="confluenceTh"><br/></th><td rowspan="3" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0813">KK0813</a></td><td rowspan="3" class="confluenceTd">02</td><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33663-Radian-Sub-Zero-PCIe-Fansink-SZ12L-50-x-64-x-10.5-mm-12V">33663</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33663 – SZ12L, 12V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set</td></tr><tr><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33664-Radian-Sub-Zero-PCIe-Fansink-SZ5L-50-x-64-x-10.5-mm-5V">33664</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33664 – SZ05L, 5V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set </td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;" class="confluenceTd">01</td><th class="confluenceTh"><br/></th><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/en/29665-Heat-Sink-SuperGrip-for-Trenz-Electronic-MPSoC-Modules-TE0803">29665</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd"><p>Heatsink Type: maxiFLOW Heat Sink Attachment: superGRIP</p></td></tr><tr><td rowspan="3" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0817">TE0817</a></td><td rowspan="3" style="text-align: right;" class="confluenceTd">01</td><th rowspan="3" class="confluenceTh"><br/></th><td rowspan="3" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0817">KK0817</a></td><td rowspan="3" class="confluenceTd">01</td><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33663-Radian-Sub-Zero-PCIe-Fansink-SZ12L-50-x-64-x-10.5-mm-12V">33663</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33663 – SZ12L, 12V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set</td></tr><tr><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33664-Radian-Sub-Zero-PCIe-Fansink-SZ5L-50-x-64-x-10.5-mm-5V">33664</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33664 – SZ05L, 5V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set </td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;" class="confluenceTd">01</td><th class="confluenceTh"><br/></th><td class="confluenceTd"><br/></td></tr><tr><td rowspan="3" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0817">TE0817</a></td><td rowspan="3" style="text-align: right;" class="confluenceTd">02</td><th rowspan="3" class="confluenceTh"><br/></th><td rowspan="3" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0817">KK0817</a></td><td rowspan="3" class="confluenceTd">02</td><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33663-Radian-Sub-Zero-PCIe-Fansink-SZ12L-50-x-64-x-10.5-mm-12V">33663</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33663 – SZ12L, 12V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set</td></tr><tr><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33664-Radian-Sub-Zero-PCIe-Fansink-SZ5L-50-x-64-x-10.5-mm-5V">33664</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33664 – SZ05L, 5V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set </td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;" class="confluenceTd">01</td><th class="confluenceTh"><br/></th><td class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0817">TE0817</a></td><td style="text-align: right;" class="confluenceTd">01, 02</td><th class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/en/29664-Kuehlkoerper-SuperGrip-fuer-Trenz-Electronic-TE0808-MPSoC-nur-REV05">29664</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">Heatsink Type: maxiFLOW Heat Sink Attachment: superGRIP</td></tr><tr><td rowspan="4" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0818">TE0818</a></td><td rowspan="4" style="text-align: right;" class="confluenceTd">01, 02</td><th rowspan="3" class="confluenceTh"><br/></th><td rowspan="3" class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0818">KK0818</a></td><td rowspan="3" class="confluenceTd">01</td><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33663-Radian-Sub-Zero-PCIe-Fansink-SZ12L-50-x-64-x-10.5-mm-12V">33663</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33663 – SZ12L, 12V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set</td></tr><tr><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/de/33664-Radian-Sub-Zero-PCIe-Fansink-SZ5L-50-x-64-x-10.5-mm-5V">33664</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">33664 – SZ05L, 5V, Radian Sub-Zero PCIe Fansink, 50 × 64 × 10.5 mm Set </td></tr><tr><td class="confluenceTd"><a href="https://wiki.trenz-electronic.de/display/PD/KK0001+Resources">KK0001</a></td><td style="text-align: left;" class="confluenceTd">01</td><th class="confluenceTh"><br/></th><td class="confluenceTd"><br/></td></tr><tr><th class="confluenceTh"><br/></th><td class="confluenceTd">—</td><td class="confluenceTd">—</td><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/en/29664-Kuehlkoerper-SuperGrip-fuer-Trenz-Electronic-TE0808-MPSoC-nur-REV05">29664</a></td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">Heatsink Type: maxiFLOW Heat Sink Attachment: superGRIP</td></tr><tr><td rowspan="2" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0820">TE0820</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">02, 03, 04</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd">—</td><td style="width: 52.0px;" class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/en/28606-Heat-Sink-for-Trenz-Electronic-Modules-TE082x/TE0841">28606</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="width: 139.0px;text-align: right;" class="confluenceTd">02, 03, 04, 05</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd">—</td><td style="width: 52.0px;" class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/en/33338-Heat-Sink-for-Trenz-Electronic-Modules-TE0820/TE0821-spring-loaded-embedded">33338</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td style="width: 148.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0821">TE0821</a></td><td style="text-align: right;width: 139.0px;" class="confluenceTd">01</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 118.0px;" class="confluenceTd">—</td><td style="width: 52.0px;" class="confluenceTd">—</td><td style="width: 145.0px;" class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/en/33338-Heat-Sink-for-Trenz-Electronic-Modules-TE0820/TE0821-spring-loaded-embedded">33338</a></td><td style="text-align: left;width: 105.0px;" class="confluenceTd">—</td><th style="width: 50.0px;" class="confluenceTh"><br/></th><td style="width: 995.0px;" class="confluenceTd"><br/></td></tr><tr><td class="confluenceTd"><a class="external-link" href="https://shop.trenz-electronic.de/search?sSearch=TE0835">TE0835</a></td><td style="text-align: right;" class="confluenceTd">02</td><th class="confluenceTh"><br/></th><td class="confluenceTd">KK0835</td><td class="confluenceTd">02</td><td class="confluenceTd">—</td><td style="text-align: left;" class="confluenceTd">—</td><th class="confluenceTh"><br/></th><td class="confluenceTd">12V PWM Fansink</td></tr><tr><td class="confluenceTd">TE0950</td><td style="text-align: right;" class="confluenceTd">02, 03</td><th class="confluenceTh"><br/></th><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd">34097</td><td style="text-align: left;" class="confluenceTd"><br/></td><th class="confluenceTh"><br/></th><td class="confluenceTd">BUF-A4 Fansink 12V PWM</td></tr><tr><td class="confluenceTd">TEI0006</td><td style="text-align: right;" class="confluenceTd">03, 04</td><th class="confluenceTh"><br/></th><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd">30532</td><td style="text-align: left;" class="confluenceTd"><br/></td><th class="confluenceTh"><br/></th><td class="confluenceTd">Heatsink BGA Aluminum superGRIP 29×29×12.5 mm </td></tr><tr><td class="confluenceTd">TEI1000</td><td style="text-align: right;" class="confluenceTd">01</td><th class="confluenceTh"><br/></th><td class="confluenceTd"><br/></td><td class="confluenceTd"><br/></td><td class="confluenceTd">33872</td><td style="text-align: left;" class="confluenceTd"><br/></td><th class="confluenceTh"><br/></th><td class="confluenceTd">12V Fansink 35 × 35 × 24.6 mm</td></tr></tbody></table></div><h2 id="CoolingSolutions-References">References</h2><ul><li><a class="external-link" href="http://www.xilinx.com/support/documentation/user_guides/ug112.pdf">Xilinx UG112</a> - Hints about thermal management and heat sinks</li></ul><h2 id="CoolingSolutions-WikiLinks">Wiki Links</h2><p>
<div class="plugin_pagetree">
<div id="pagetreesearch">
<form method="POST" class="aui" action="/plugins/pagetreesearch/pagetreesearch.action" name="pagetreesearchform">
<input type="hidden" name="ancestorId" value="107057420">
<input type="hidden" name="spaceKey" value="PD">
<input type="text" class="text medium-field" size="20" name="queryString">
<input type="submit" class="aui-button" value="Search">
</form>
</div>
<div>
<span class="plugin_pagetree_status hidden">Collapse all</span>
<div class="plugin_pagetree_expandcollapse">
<a class="plugin_pagetree_expandall" href="#">Expand all</a>
<a class="plugin_pagetree_collapseall" href="#">Collapse all</a>
</div>
</div>
<ul role="list" aria-busy="true" class="plugin_pagetree_children_list plugin_pagetree_children_list_noleftspace">
<div class="plugin_pagetree_children">
</div>
</ul>
<fieldset class="hidden">
<input type="hidden" name="treeId" value="">
<input type="hidden" name="treeRequestId" value="/plugins/pagetree/naturalchildren.action?decorator=none&excerpt=false&sort=position&reverse=false&disableLinks=false&expandCurrent=false&placement=">
<input type="hidden" name="treePageId" value="107057420">
<input type="hidden" name="noRoot" value="false">
<input type="hidden" name="rootPageId" value="107057420">
<input type="hidden" name="rootPage" value="">
<input type="hidden" name="startDepth" value="0">
<input type="hidden" name="spaceKey" value="PD" >
<input type="hidden" name="i18n-pagetree.loading" value="Loading...">
<input type="hidden" name="i18n-pagetree.error.permission" value="Unable to load page tree. It seems that you do not have permission to view the root page.">
<input type="hidden" name="i18n-pagetree.eeror.general" value="There was a problem retrieving the page tree. Please check the server log file for more information.">
<input type="hidden" name="loginUrl" value="/login.action?os_destination=%2Fspaces%2Fcreaterssfeed.action%3Ftypes%3Dpage%26types%3Dcomment%26types%3Dblogpost%26types%3Dmail%26types%3Dattachment%26spaces%3DPD%26maxResults%3D15%26title%3D%255BPublic%2BDocs%255D%2BAll%2BContent%2BFeed%26amp%3BpublicFeed%3Dtrue&permissionViolation=true">
<input type="hidden" name="mobile" value="false">
<input type="hidden" name="placement" value="">
<fieldset class="hidden">
<input type="hidden" name="ancestorId" value="107057420">
</fieldset>
</fieldset>
</div>
</p>
</div>
<div style="padding: 10px 0;">
<a href="https://wiki.trenz-electronic.de/display/PD/Cooling+Solutions">View Online</a>
·
<a href="https://wiki.trenz-electronic.de/pages/diffpagesbyversion.action?pageId=107057420&revisedVersion=58&originalVersion=57">View Changes Online</a>
</div>
</div>
Vadim Yunitski
2020-09-02T13:20:27Z