Overview


This tutorial guides you from inital test_board reference design for TE0802 SoM to custom extensible vitis platfom and then shows how to implement and run basic VADD example on 2cg board No. 2. 

Key Features


  • Xilinx 2021.2 tools, Vivado 2021.2.1
  • Vitis AI 2.0
  • Vitis custom extensible platform for cg02_1gb board
  • Vector addition on cg02_1gb board

Requirements


TypeNameVersionNote
HWTE0802 board--






Diverse CableUSB, Power...----
Virtual MaschineOracle, VMWare or MS WSL--optional
OSLinuxXilinx Supported OS running on VM or native
Reference DesignTE0802-test_board-vivado_2021.2-*.zipbuild 20 or higher to match Vivado 2021.2.1Tutorial was created and tested with:
  • TE0802-test_board-vivado_2021.2-build_20_20221208094356.zip
  • board No. 02: TE0802-02-2AEU2-A, with device xczu2cg-sfvc784-1-e with ISSI DDR4L 1GB memory
SWVitis2021.2--
SWVivado2021.2.1Vivado patch to 2021.2.1 is required by reference design package build 20 or higher
SWPetalinux2021.2--
SWPutty----
Repo

Vitis-AI

2.0https://github.com/Xilinx/Vitis-AI/tree/2.0


Prepare Development Environment

Virtual Machine


On Win10 Pro PC, you can use:

The presented extendible platform has been created on: Windows 10 Pro, ver. 21H2 OS build 19044.1889, VMware Workstation 16 Player (Version 16.2.4 build-20089737), Ubuntu 20.04 LTS Desktop 64-bit PC (AMD64)
https://linuxconfig.org/Ubuntu-20-04-download  


Vitis/Vivado 2021.2 and creation of the extendible platform from ZIP archive has been also tested on:
Windows 11 Pro PC (upgrade from Windows 10 Pro, ver. 21H2 OS build 19044.1889)
VMware Workstation 16 Player (Version 16.2.4 build-20089737),
Ubuntu 20.04 LTS Desktop 64-bit PC (AMD64).
https://linuxconfig.org/Ubuntu-20-04-download

Linux OS


Only supported OS are selected Linux distributions. You will need either native or virtual PC with Linux distribution.

Create new VM with Linux OS supported by Vitis 2021.2 tools.

Use English as OS language for your Linux System. Keyboard language can be any language.
Other languages may cause errors in PetaLinux build process.

Set Language


In Ubuntu 20.04, open terminal and type command:

$ locale

Language is OK, if the command response starts with:

LANG=en_US.UTF-8

Set Bash as Terminal in Ubuntu


In Ubuntu, set bash as terminal.

$ sudo dpkg-reconfigure dash shell


select:  no

Use of bash shell is required by Xilinx tools.

The Ubuntu 20.04 LTS terminal (selected as default installation) is dash.

 

Install OpenCL Client Drivers


On Ubuntu, install OpenCL Installable Client Driver Loader by executing:

$ sudo apt-get install ocl-icd-libopencl1
$ sudo apt-get install opencl-headers
$ sudo apt-get install ocl-icd-opencl-dev

Software Installation


Vitis 2021.2


Download  Vitis

Download the Vitis Tools installer from the link below https://www.xilinx.com/support/download.html

Install Vitis

If Vitis 2021.2 is not installed, follow installation steps described in:

https://docs.xilinx.com/r/en-US/ug1393-vitis-application-acceleration/Vitis-Software-Platform-Installation

After a successful installation of the Vitis 2021.2 and Vivado 2021.2 in /tools directory, a confirmation message is displayed, with a prompt to run the installLibs.sh script.

Script location:
/tools/Vitis/2021.2/scripts/installLibs.sh

In Ubuntu terminal, change directory to /tools/Vitis/2021.2/script  and run the script using sudo privileges:

$ sudo installLibs.sh

The command installs a number of necessary packages for the Vitis 2021.2 tools based on the actual OS version of your Ubuntu system.

Install  y2k22_patch-1.2 to Vitis

If not applied before, apply the Xilinx y2k22_patch-1.2 to Vitis 2021.2 https://support.xilinx.com/s/article/76960?language=en_US

Install License Supporting Vivado

In Ubuntu terminal, source paths to Vivado tools by executing

$ source /tools/Xilinx/Vitis/2021.2/settings64.sh

Execute Vivado License Manager:

$ vlm

From vlm, login to your Xilinx account by an www browser.

In www browser, specify Vitis 2021.2 license. Select Linux target.

Download xilinx license file and copy it into the directory of your choice.
~/License/vitis_2021_2/Xilinx.lic

In vlm, select Load License -> Copy License

Putty


The putty terminal can be used for Ethernet connected terminal. Putty supports keyboard, mouse and forwarding of X11 for Zynq Ultrascale+ applications designed for X11 desktop GUI.

In Ubuntu terminal, execute:

$ sudo apt install putty



To test the installation, execute putty application from Ubuntu terminal by:

$ putty &

Exit from putty.

Petalinux 2021.2


Download  Petalinux

Download the PetaLinux Tools installer from the link below https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/embedded-design-tools.html

Install Required Libraries

Install Petalinux 2021.2. Follow guideline described in:
https://wiki.trenz-electronic.de/display/PD/PetaLinux+KICKstart#PetaLinuxKICKstart-PetaLinux2021.2

Before PetaLinux installation, check UG1144 chapter "PetaLinux Tools Installation Requirements" and install missing tool/libraries with help of script plnx-env-setup.sh attached to the Xilinx Answer Record 73296 - PetaLinux: How to install the required packages for the PetaLinux Build Host?
https://www.xilinx.com/support/answers/73296.html

Use this page to download script: plnx-env-setup.sh

The script detects whether the Host OS is a Ubuntu, RHEL, or CentOS Linux distribution and then automatically installs all of the required packages for the PetaLinux Build Host.

The script requires root privileges. The script does not install the PetaLinux Tools. Command to run the script:

$ sudo ./plnx-env-setup.sh

Perform update of your PetaLinux and additional installation libraries.

$ sudo apt-get update
$ sudo apt-get install iproute2 gawk python3 python build-essential gcc git make net-tools libncurses5-dev tftpd zlib1g-dev libssl-dev flex bison libselinux1 gnupg wget git-core diffstat chrpath socat xterm autoconf libtool tar unzip texinfo zlib1g-dev gcc-multilib automake zlib1g:i386 screen pax gzip cpio python3-pip python3-pexpect xz-utils debianutils iputils-ping python3-git python3-jinja2 libegl1-mesa libsdl1.2-dev pylint3 -y
Install Petalinux

and follow the directions in the "Installing the PetaLinux Tool" section of (UG1144).
https://www.xilinx.com/support/documentation/sw_manuals/xilinx2020_1/ug1144-petalinux-tools-reference-guide.pdf

To install petalinux do not start from shared folder, copy installer into your home directory.

$ mkdir -p ~/petalinux/2021.2



Copy  petalinux-v2021.2-final-installer.run into  ~/petalinux/2021.2

$ ./petalinux-v2020.2-final-installer.run

Source environment

$ source ~/petalinux/2021.2/settings.sh

Prepare Reference Design for Extensible Custom Platform


Update Vivado Project for Extensible Platform


Trenz Electronic Scripts allows posibility change some setup via enviroment variables, which depends on the used OS and PC performace.

To improve performance on multicore CPU add global envirment on line 64:
export TE_RUNNING_JOBS=10

to  /etc/bash.bashrc or local to design_basic_settings.sh

For othervariables see also:

Project Delivery - Xilinx devices#EnvironmentVariables

In Ubuntu terminal, source paths to Vitis and Vivado tools by

$ source /tools/Xilinx/Vitis/2021.2/settings64.sh

Download TE0802 test_board Linux Design file (see Reference Design download link on chapter Requirements) with pre-build files to

 ~/Downloads/TE0802-test_board-vivado_2021.2-build_20_20221107115647.zip 

This TE0802test_board ZIP file contains bring-up scripts for creation of Petalinux for range of boards in zipped directory named “test_board”.

Unzip the file to directory:
~/work/TE0802_02_240

All supported boards are identified in file: ~/work/TE0802_02_240/test_board/board_files/TE0802_board_files.csv

We will select board No. 02 with name TE0802-02-2AEU2-A, with device xczu2cg-sfvc784-1-e with ISSI DDR4L 1GB memory. We will use default clock 240 MHz.
That is why we name the package TE0802_02_240 and proposed to unzip the TE0802-test_board Linux Design files:

TE0802-test_board-vivado_2021.2-build_20_20221208094356.zip

into the directory:
~/work/TE0802_02_240

In Ubuntu terminal, change directory to the test_board directory:

$ cd ~/work/TE0802_02_240/test_board

Setup thetest_board directory files for a Linux host machine.
In Ubuntu terminal, execute:

$ chmod ugo+rwx ./console/base_sh/*.sh
$ chmod ugo+rwx ./_create_linux_setup.sh
$ ./_create_linux_setup.sh

Select option (0) to open Selection Guide and press Enter

Select board variant with ID 2 from the selection guide by typing 2, press enter and agree selection

board No. 02: TE0802-02-2AEU2-A, with device xczu2cg-sfvc784-1-e with ISSI DDR4L 1GB is selected.

Create Vivado Project by typing 1

Vivado project for board No. 02:  TE0802-02-2AEU2-A, with device xczu2cg-sfvc784-1-e with ISSI DDR4L 1GB memory will be generated.

Selection Guide automatically modified ./design_basic_settings.sh with correct variant, so other provided bash files to recreate or open Vivado project again can be used later also.

In case of using selection guide, variant can be selected also manually:

Select option (2) to create maximum setup of CMD-Files and exit the script (by typing any key).

It moves main design bash scripts to the top of thetest_board directory. Set these files as executable, from the Ubuntu terminal:

$ chmod ugo+rwx *.sh

In text editor, open file
~/work/TE0802_02_240/test_board/design_basic_settings.sh

On line 63, change
export PARTNUMBER=LAST_ID
to
export PARTNUMBER=1

To improve performance on multicore CPU add on line 64:
export TE_RUNNING_JOBS=10

Vivado will be utilizing up to 10 parallel logical processor cores with this setup (instead of the default of 2 parallel logical processor cores).

Save the modified file.

This modification will guide the Trenz TE0802-test_board Linux Design scripts to generate Vivado HW for the board 01 with name TE0802-01-2AE31KA, with device xczu2cg-sfvc784-1-e on TE0706-03 carrier board.

In Ubuntu terminal, change directory to
~/work/TE0802_02_240/test_board

The Vivado tool will be opened and Trenz Electronic HW project for the TE0802-test_board Linux Design, part 01 will be generated  by running this script:

$ ./vivado_create_project_guimode.sh

The Vivado tool will be opened and Trenz Electronic HW project for the TE0802-test_board Linux Design, part 01 will be generated.

In Vivado window Sources, click on zusys_wrapper and next on zusys.bd to open the HW diagram in IP integrator:

It is possible to display diagram in separate window by clicking on float icon in upper right corner of the diagram.

Zynq Ultrascale+ block is configured for the Trenz TE0802-test_board Linux Design on the TE0706-03 carrier board.

This is starting point for the standard PetaLinux system supported by Trenz with steps for generation of the PetaLinux system. Parameters of this system and compilation steps are described on Trenz Wiki pages:
TE0802 Test Board - Public Docs - Trenz Electronic Wiki (trenz-electronic.de)

Follow steps described in these wiki pages if you would like to create fixed, not extensible Vitis platform.

The Extensible Vitis platform generation steps are described in next paragraphs.

Create Extensible Vitis platform


To implement hardware this tutorial offers two alternatives: Fast Track or Manual Track:

  • Choose Fast Track to use TCL script to do the same modifications as in manual track case automatically,
  • Select Manual Track path if you want to see all required hardware modifications required for custom platform.
Fast Track

Block Design of the Vivado project must be opened for this step. Copy following TCL Code to the TCL comand console of Vivado:

TCL Script to prepare Extensible Vitits Platform
#activate extensible platform
set_property platform.extensible true [current_project]
save_bd_design

set_property PFM_NAME [string map {part0 zusys} [string map {trenz.biz trenz} [current_board_part]]] [get_files zusys.bd]
set_property platform.design_intent.embedded {true} [current_project]
set_property platform.design_intent.datacenter {false} [current_project]
set_property platform.design_intent.server_managed {false} [current_project]
set_property platform.design_intent.external_host {false} [current_project]
set_property platform.default_output_type {sd_card} [current_project]
set_property platform.uses_pr {false} [current_project]
save_bd_design
 
#add clocking wizard
startgroup
create_bd_cell -type ip -vlnv xilinx.com:ip:clk_wiz:6.0 clk_wiz_0
endgroup

#clocking wizard config
set_property location {3 984 -112} [get_bd_cells clk_wiz_0]
set_property -dict [list CONFIG.CLKOUT2_USED {true} CONFIG.CLKOUT3_USED {true} CONFIG.CLKOUT4_USED {true} CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {200.000} CONFIG.CLKOUT3_REQUESTED_OUT_FREQ {400.000} CONFIG.CLKOUT4_REQUESTED_OUT_FREQ {240.000} CONFIG.RESET_TYPE {ACTIVE_LOW} CONFIG.MMCM_CLKOUT1_DIVIDE {6} CONFIG.MMCM_CLKOUT2_DIVIDE {3} CONFIG.MMCM_CLKOUT3_DIVIDE {5} CONFIG.NUM_OUT_CLKS {4} CONFIG.RESET_PORT {resetn} CONFIG.CLKOUT2_JITTER {102.086} CONFIG.CLKOUT2_PHASE_ERROR {87.180} CONFIG.CLKOUT3_JITTER {90.074} CONFIG.CLKOUT3_PHASE_ERROR {87.180} CONFIG.CLKOUT4_JITTER {98.767} CONFIG.CLKOUT4_PHASE_ERROR {87.180}] [get_bd_cells clk_wiz_0]
#connect clocking wizard inputs
connect_bd_net [get_bd_pins clk_wiz_0/clk_in1] [get_bd_pins zynq_ultra_ps_e_0/pl_clk0]
connect_bd_net [get_bd_pins clk_wiz_0/resetn] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0]
save_bd_design

#add reset cores

startgroup
create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_0
endgroup

#add reset cores
set_property name proc_sys_reset_1 [get_bd_cells proc_sys_reset_0]
save_bd_design
set_property location {4 1445 -656} [get_bd_cells proc_sys_reset_1]
copy_bd_objs /  [get_bd_cells {proc_sys_reset_1}]
set_property location {4 1472 -490} [get_bd_cells proc_sys_reset_2]
copy_bd_objs /  [get_bd_cells {proc_sys_reset_2}]
set_property location {4 1430 -299} [get_bd_cells proc_sys_reset_3]
copy_bd_objs /  [get_bd_cells {proc_sys_reset_3}]
set_property location {4 1445 -101} [get_bd_cells proc_sys_reset_4]

#connect reset cores
connect_bd_net [get_bd_pins clk_wiz_0/clk_out1] [get_bd_pins proc_sys_reset_1/slowest_sync_clk]
connect_bd_net [get_bd_pins clk_wiz_0/clk_out2] [get_bd_pins proc_sys_reset_2/slowest_sync_clk]
connect_bd_net [get_bd_pins clk_wiz_0/clk_out3] [get_bd_pins proc_sys_reset_3/slowest_sync_clk]
connect_bd_net [get_bd_pins clk_wiz_0/clk_out4] [get_bd_pins proc_sys_reset_4/slowest_sync_clk]
startgroup
connect_bd_net [get_bd_pins proc_sys_reset_3/ext_reset_in] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0]
connect_bd_net [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0] [get_bd_pins proc_sys_reset_2/ext_reset_in]
connect_bd_net [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0] [get_bd_pins proc_sys_reset_1/ext_reset_in]
connect_bd_net [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0] [get_bd_pins proc_sys_reset_4/ext_reset_in]
endgroup
set_property PFM.CLOCK {clk_out1 {id "4" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"}} [get_bd_cells /clk_wiz_0]
set_property PFM.CLOCK {clk_out1 {id "4" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "5" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"}} [get_bd_cells /clk_wiz_0]
set_property PFM.CLOCK {clk_out1 {id "4" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "5" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"} clk_out3 {id "6" is_default "false" proc_sys_reset "/proc_sys_reset_3" status "fixed" freq_hz "400000000"}} [get_bd_cells /clk_wiz_0]
set_property PFM.CLOCK {clk_out1 {id "4" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "5" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"} clk_out3 {id "6" is_default "false" proc_sys_reset "/proc_sys_reset_3" status "fixed" freq_hz "400000000"} clk_out4 {id "7" is_default "false" proc_sys_reset "/proc_sys_reset_4" status "fixed" freq_hz "240000000"}} [get_bd_cells /clk_wiz_0]
set_property pfm_name zusys [get_files {zusys.bd}]
set_property PFM.CLOCK {clk_out1 {id "1" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "5" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"} clk_out3 {id "6" is_default "false" proc_sys_reset "/proc_sys_reset_3" status "fixed" freq_hz "400000000"} clk_out4 {id "7" is_default "false" proc_sys_reset "/proc_sys_reset_4" status "fixed" freq_hz "240000000"}} [get_bd_cells /clk_wiz_0]
set_property PFM.CLOCK {clk_out1 {id "1" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "5" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"} clk_out3 {id "6" is_default "false" proc_sys_reset "/proc_sys_reset_3" status "fixed" freq_hz "400000000"} clk_out4 {id "7" is_default "false" proc_sys_reset "/proc_sys_reset_4" status "fixed" freq_hz "240000000"}} [get_bd_cells /clk_wiz_0]
set_property PFM.CLOCK {clk_out1 {id "1" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "2" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"} clk_out3 {id "6" is_default "false" proc_sys_reset "/proc_sys_reset_3" status "fixed" freq_hz "400000000"} clk_out4 {id "7" is_default "false" proc_sys_reset "/proc_sys_reset_4" status "fixed" freq_hz "240000000"}} [get_bd_cells /clk_wiz_0]
set_property PFM.CLOCK {clk_out1 {id "1" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "2" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"} clk_out3 {id "3" is_default "false" proc_sys_reset "/proc_sys_reset_3" status "fixed" freq_hz "400000000"} clk_out4 {id "7" is_default "false" proc_sys_reset "/proc_sys_reset_4" status "fixed" freq_hz "240000000"}} [get_bd_cells /clk_wiz_0]
set_property PFM.CLOCK {clk_out1 {id "1" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "2" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"} clk_out3 {id "3" is_default "false" proc_sys_reset "/proc_sys_reset_3" status "fixed" freq_hz "400000000"} clk_out4 {id "4" is_default "false" proc_sys_reset "/proc_sys_reset_4" status "fixed" freq_hz "240000000"}} [get_bd_cells /clk_wiz_0]
set_property PFM.CLOCK {clk_out1 {id "1" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "2" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"} clk_out3 {id "3" is_default "false" proc_sys_reset "/proc_sys_reset_3" status "fixed" freq_hz "400000000"} clk_out4 {id "4" is_default "true" proc_sys_reset "/proc_sys_reset_4" status "fixed" freq_hz "240000000"}} [get_bd_cells /clk_wiz_0]
save_bd_design
startgroup
connect_bd_net [get_bd_pins proc_sys_reset_4/dcm_locked] [get_bd_pins clk_wiz_0/locked]
connect_bd_net [get_bd_pins clk_wiz_0/locked] [get_bd_pins proc_sys_reset_2/dcm_locked]
connect_bd_net [get_bd_pins clk_wiz_0/locked] [get_bd_pins proc_sys_reset_1/dcm_locked]
connect_bd_net [get_bd_pins clk_wiz_0/locked] [get_bd_pins proc_sys_reset_3/dcm_locked]
endgroup
save_bd_design

#add interrupt core
startgroup
create_bd_cell -type ip -vlnv xilinx.com:ip:axi_intc:4.1 axi_intc_0
endgroup

#config interrupt core
set_property -dict [list CONFIG.C_KIND_OF_INTR.VALUE_SRC USER] [get_bd_cells axi_intc_0]
set_property -dict [list CONFIG.C_KIND_OF_INTR {0x00000000} CONFIG.C_IRQ_CONNECTION {1}] [get_bd_cells axi_intc_0]

#connect interrupt core
connect_bd_net [get_bd_pins axi_intc_0/s_axi_aclk] [get_bd_pins clk_wiz_0/clk_out4]
connect_bd_net [get_bd_pins axi_intc_0/s_axi_aresetn] [get_bd_pins proc_sys_reset_4/peripheral_aresetn]
disconnect_bd_net /zynq_ultra_ps_e_0_pl_clk0 [get_bd_pins zynq_ultra_ps_e_0/maxihpm0_lpd_aclk]
connect_bd_net [get_bd_pins zynq_ultra_ps_e_0/maxihpm0_lpd_aclk] [get_bd_pins clk_wiz_0/clk_out4]
apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/clk_wiz_0/clk_out4 (240 MHz)} Clk_slave {/clk_wiz_0/clk_out4 (240 MHz)} Clk_xbar {/clk_wiz_0/clk_out4 (240 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_LPD} Slave {/axi_intc_0/s_axi} ddr_seg {Auto} intc_ip {New AXI Interconnect} master_apm {0}}  [get_bd_intf_pins axi_intc_0/s_axi]
startgroup
set_property -dict [list CONFIG.PSU__USE__IRQ0 {1}] [get_bd_cells zynq_ultra_ps_e_0]
endgroup
connect_bd_net [get_bd_pins zynq_ultra_ps_e_0/pl_ps_irq0] [get_bd_pins axi_intc_0/irq]
set_property PFM.IRQ {intr { id 0 range 32 }} [get_bd_cells /axi_intc_0]

update_compile_order -fileset sources_1
disconnect_bd_net /proc_sys_reset_4_peripheral_aresetn [get_bd_pins ps8_0_axi_periph/S00_ARESETN]
disconnect_bd_net /proc_sys_reset_4_peripheral_aresetn [get_bd_pins ps8_0_axi_periph/M00_ARESETN]
startgroup
connect_bd_net [get_bd_pins ps8_0_axi_periph/M00_ARESETN] [get_bd_pins proc_sys_reset_4/interconnect_aresetn]
connect_bd_net [get_bd_pins proc_sys_reset_4/interconnect_aresetn] [get_bd_pins ps8_0_axi_periph/S00_ARESETN]
endgroup

set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HPC" sptag "" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HP" sptag "HPC0" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HP" sptag "HPC0" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HP" sptag "HPC1" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HP" sptag "HPC0" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HP" sptag "HPC1" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "HP0" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HP" sptag "HPC0" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HP" sptag "HPC1" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "HP0" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "HP1" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HP" sptag "HPC0" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HP" sptag "HPC1" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "HP0" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "HP1" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "HP2" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HP" sptag "HPC0" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HP" sptag "HPC1" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "HP0" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "HP1" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "HP2" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "HP3" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]
set_property PFM.AXI_PORT {M01_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /ps8_0_axi_periph]
set_property PFM.AXI_PORT {M01_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M02_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /ps8_0_axi_periph]
set_property PFM.AXI_PORT {M01_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M02_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M03_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /ps8_0_axi_periph]
set_property PFM.AXI_PORT {M01_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M02_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M03_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M04_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /ps8_0_axi_periph]
set_property PFM.AXI_PORT {M01_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M02_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M03_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M04_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M05_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /ps8_0_axi_periph]
set_property PFM.AXI_PORT {M01_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M02_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M03_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M04_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M05_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M06_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /ps8_0_axi_periph]
set_property PFM.AXI_PORT {M01_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M02_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M03_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M04_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M05_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M06_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M07_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /ps8_0_axi_periph]
save_bd_design

#save
save_bd_design
 
#save project XPR name
global proj_xpr
set proj_xpr [current_project]
append proj_xpr .xpr
 
#close project
close_project
 
# reopen project
open_project $proj_xpr
 
# open block design
open_bd_design [current_project].srcs/sources_1/bd/zusys/zusys.bd
 
#validate
#validate_bd_design

This script modifies the Initial platform Block design into the Extensible platform Block design and also defines define Platform Setup configuration.

In Vivado, open the design explorer and Platform description.
The fast track result is identical to the manually performed modifications described in next sections. In Vivado, save block design by clicking on icon “Save Block Design”.

Continue the design path with Validate Design.

Manual Track

In Vivado project, click in Flow Navigator on Settings. In opened Settings window, select General in Project Settings, select Project is an extensible Vitis platform. Click on OK.

IP Integrator of project set up as an extensible Vitis platform has an additional Platform Setup window.

Add multiple clocks and processor system reset IPs
In IP Integrator Diagram Window, right click, select Add IP and add Clocking Wizard IP clk_wiz_0. Double-click on the IP to Re-customize IP window.  Select Output Clocks panel. Select four clocks with frequency 100, 200, 400 and 240 MHz.
100 MHz clock will serve as low speed clock.
200 MHz and 400 MHz clock will serve as clock for possible AI engine.
240 MHz clock will serve as the default extensible platform clock. By default, Vitis will compile HW IPs with this default clock. 

Set reset type from the default Active High to Active Low

Clik on OK to close the Re-customize IP window.

Disconnect clock network driven by output pl_clk0 of zynq_ultra_ps_e_0 from pl_clk0 and connect the complete clock network to output clk_out4 of clk_wiz_0. It will be driven by 240 MHz clock.

Connect input resetn of clk_wiz_0 with output pl_resetn0 of zynq_ultra_ps_e_0.
Connect input clk_in1 of clk_wiz_0 with output pl_clk0 of zynq_ultra_ps_e_0.

Add and connect Processor System Reset blocks for each generated clock.

Add four Processor System reser blocks and rename them proc_sys_reset_1,  proc_sys_reset_2, proc_sys_reset_3 and  proc_sys_reset_4.
Connect input slowest_sync_clk of proc_sys_reset_1 to clk_out1 of clk_wiz_0.
Connect input slowest_sync_clk of proc_sys_reset_2 to clk_out2 of clk_wiz_0.
Connect input slowest_sync_clk of proc_sys_reset_3 to clk_out3 of clk_wiz_0.
Connect input slowest_sync_clk of proc_sys_reset_4 to clk_out4 of clk_wiz_0.
Connect inputs ext_reset_in of proc_sys_reset_1, proc_sys_reset_2 proc_sys_reset_3 and proc_sys_reset_4 to output pl_resetn0 of zynq_ultra_ps_e_0.
Connect inputs dcm_locked of proc_sys_reset_1, proc_sys_reset_2 proc_sys_reset_3 and proc_sys_reset_4 to output locked of clk_wiz_0.

Platform Setup - clocks

Open Platform Setup window of IP Integrator to define Clocks. In Settings, select Clock.

In “Enabled” column select all four defined clocks clk_out1, clk_out2, clk_out3, clk_out4 of clk_wiz_0 block.

In “ID” column keep the default Clock ID: 1, 2, 3, 4

In “Is Default” column, select clk_out4 (with ID=4) as the default clock.  One and only one clock must be selected as default clock.

Add, customize and connect the AXI Interrupt Controller
Add AXI Interrupt Controller IP axi_intc_0.
Double-click on axi_intc_0 to re-customize it.

In “Processor Interrupt Type and Connection” section select the “Interrupt Output Connection” from “Bus” to “Single”.

In “Peripherial Interrupt Type” section, change the “Interrupts Types Edge or Level” from AUTO to MANUAL.  Change the corresponding value from 0xFFFFFFFF to 0x00000000.

Click on OK to accept these changes.

This re-configuration is manually setting all interrupts as level interrupts. With this setting, the PetaLinux automatically creates correct description of the interrupt controller in the device tree.
The Vitis extensible flow generates HW IP blocks with level interrupts.


In case of user defined edge interrupts, the corresponding interrupt description will be added in an customised, interrupt controller description section of the user-defined device tree file
~/work/TE0802_02_240/test_board/os/petalinux/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi
For the default extensible TE0802_02_240_pfm platform it is not needed.


Connect interrupt controller clock input s_axi_aclk of axi_intc_0 to clock output dlk_out4 of clk_wiz_0. It is the default, 240 MHz clock of the extensible platform.

Connect interrupt controller input s_axi_aresetn of axi_intc_0 to output peripheral_aresetn[0:0] of proc_sys_reset_4 . It is the reset block for default, 240 MHz clock of the extensible platform.

Use the Run Connection Automation wizard to connect the axi lite interface of interrupt controller axi_intc_0 to zynq_ultra_ps_e_0. It is available in green line in top of the Diagram window.

In Run Connection Automaton window, click OK.

New AXI interconnect ps_8_axi_periph is created and related connections are generated.

Vitis extensible design flow will be expanding the AXI interconnect ps_8_axi_periph for interfacing and configuration of registers of generated HW IP blocks with the default extensible platform clock 240 MHz.

Modify the automatically generated reset network of AXI interconnect ps_8_axi_periph IP.

Disconnect input S00_ARESETN of ps_8_axi_periph from the network driven by output peripherial_aresetn[0:0] of proc_sys_reset_4 block.

Connect input S00_ARESETN of ps_8_axi_periph block with output interconnect_aresetn[0:0] of proc_sys_reset_4 block.

Disconnect input M00_ARESETN of ps_8_axi_periph block from the network driven by output peripherial_aresetn[0:0] of proc_sys_reset_4 block.

Connect input M00_ARESETN of ps_8_axi_periph to output interconnect_aresetn[0:0] of proc_sys_reset_4 block.

This modification will make the reset structure of the AXI interconnect ps_8_axi_periph block identical to the future extensions generated by the Vitis extensible design flow.

Double-click on zynq_ultra_ps_e_0 to re-customize it by enabling of an interrupt input pl_ps_irq0[0:0]. Click OK.

Connect the interrupt input pl_ps_irq0[0:0] of zynq_ultra_ps_e_0 block with output irq of axi_intc_0 block.

In Platform Setup, select “Interrupt” and enable intr in the “Enabled” column.

In Platform Setup, select AXI Port for zynq_ultra_ps_e_0:

Select M_AXI_HPM0_FPD and M_AXI_HPM1_FPD in column “Enabled”.

Select S_AXI_HPC0_FPD and S_AXI_HPC1_FPD in column “Enabled”.

For S_AXI_HPC0_FPD, change S_AXI_HPC to S_AXI_HP in column “Memport”.

For S_AXI_HPC1_FPD, change S_AXI_HPC to S_AXI_HP in column “Memport”.

Select S_AXI_HP0_FPD, S_AXI_HP1_FPD, S_AXI_HP2_FPD, S_AXI_HP3_FPD in column “Enabled”.

Type into the “sptag” column the names for these 6 interfaces so that they can be selected by v++ configuration during linking phase. HPC0HPC1HP0HP1HP2HP3

In “Platform Setup”, select AXI Ports for ps8_0_axi_periph:

Select M01_AXI, M02_AXI, M03_AXI, M04_AXI, M05_AXI, M06_AXI and M07_AXI in column “Enabled”.

The modifications of the default design for the extensible platform are completed, now.

In Vivado, save block design by clicking on icon “Save Block Design”.

Continue the design path with Validate Design.

Validate Design


Results of HW creation via Manual Track or Fast Track are identical.

Open diagram by clicking on zusys.bd if not already open.
In Diagram window, validate design by clicking on “Validate Design” icon.

Received Critical Messages window indicates that input intr[0:0] of axi_intc_0 is not connected. This is expected. The Vitis extensible design flow will connect this input to interrupt outputs from generated HW IPs.

 Click OK.

Known Issue: Sometimes an error in validation process may occur reporting create_pfm function is not known. Workaroud is to close vivado tool and reopen again to correclty load platform export API.

You can generate pdf of the block diagram by clicking to any place in diagram window and selecting “Save as PDF File”. Use the offered default file name:
~/work/TE0802_02_240/test_board/vivado/zusys.pdf

Compile Created HW and Custom SW with Trenz Scripts


In Vivado Tcl Console, type following script and execute it by Enter. It will take some time to compile HW. HW design and to export the corresponding standard XSA package with included bitstream.

TE::hw_build_design -export_prebuilt

An archive for standard non-extensible system is created:
~/work/TE0802_02_240/test_board/vivado/test_board_2cg_i1gb.xsa

In Vivado Tcl Console, type the following script and execute it by Enter. It will take some time to compile.

TE::sw_run_vitis -all

After the script controlling SW compilation is finished, the Vitis SDK GUI is opened.

Close the Vitis “Welcome” page.
Compile the two included SW projects.
Standalone custom Vitis platform has been created and compiled. 

The standalone custom Vitis platform includes Trenz Electronic custom first stage boot loader in folder zynqmp_fsbl. It includes SW extension specific for the Trenz board initialisation.

This custom zynqmp_fsbl project has been compiled into executable file fsbl.elf.  It is located in: ~/work/TE0802_02_240/test_board/prebuilt/software/2cg_i1gb/fsbl.elf

This customised first stage boot loader is needed for the Vitis extensible platform.
We have used the standard Trenz scripts to generate it for next use in the extensible platform.

Exit the opened Vitis SDK project.

In Vivado top menu select File->Close Project to close project. Click OK.

In Vivado top menu select File->Exit to close Vivado. Click OK.

The exported Vitis Extensible Hardware platform named test_board_2cg_i1gb.xsa can be found in the vivado folder.

Copy Created Custom First Stage Boot Loader


Up to now,test_board directory has been used for all development.
~/work/TE0802_02_240/test_board

Create new folders:
~/work/TE0802_02_240/test_board_pfm/pfm/boot
~/work/TE0802_02_240/test_board_pfm/pfm/sd_dir

Copy the recently created custom first stage boot loader executable file from
~/work/TE0802_02_240/test_board/prebuilt/software/2cg_i1gb/fsbl.elf
to
~/work/TE0802_02_240/test_board_pfm/pfm/boot/fsbl.elf

Building Platform OS and SDK


Configuration of the Default Trenz Petalinux for the Vitis Extensible Platform


Change directory to the default Trenz Petalinux folder
~/work/TE0802_02_240/test_board/os/petalinux

Source Vitis and Petalinux scripts to set environment for access to Vitis and PetaLinux tools.

$ source /tools/Xilinx/Vitis/2021.2/settings64.sh
$ source ~/petalinux/2021.2/settings.sh

Configure petalinux with the test_board_2cg_i1gb.xsa for the extensible design flow by executing:

$ petalinux-config --get-hw-description=~/work/TE0802_02_240/test_board/vivado


Select Exit->Yes to close this window.

Customize Root File System, Kernel, Device Tree and U-boot


In text editor, append definition of 32 interrupts by this text:

&amba {	zyxclmm_drm {
		compatible = "xlnx,zocl";
		status = "okay";
		reg = <0x0 0xA0000000 0x0 0x10000>;
		interrupt-parent = <&axi_intc_0>;
		interrupts = <0  4>, <1  4>, <2  4>, <3  4>,
			     <4  4>, <5  4>, <6  4>, <7  4>,
			     <8  4>, <9  4>, <10 4>, <11 4>,
			     <12 4>, <13 4>, <14 4>, <15 4>,
			     <16 4>, <17 4>, <18 4>, <19 4>,
			     <20 4>, <21 4>, <22 4>, <23 4>,
			     <24 4>, <25 4>, <26 4>, <27 4>,
			     <28 4>, <29 4>, <30 4>, <31 4>;
	};
};

to the system-user.dtsi file located in folder:
~/work/TE0802_02_240/test_board/os/petalinux/project-spec/meta-user/recipes-bsp/device-tree/files/

Download the Vitis-AI 2.0 repository.
In browser, open page:

https://github.com/Xilinx/Vitis-AI/tree/2.0

Clik on green Code button and download Vitis-AI-2.0.zip file.
Unzip Vitis-AI-2.0.zip file to directory ~/Downloads/Vitis-AI.

Copy ~/Downloads/Vitis-AI to  ~/vitis_ai_2_0 

Delete Vitis-AI-2.0.zip, delete ~/Downloads/Vitis-AI, clean trash.

The directory ~/vitis_ai_2_0 contains the Vitis-AI 2.0 framework, now.

To install the Vitis-AI 2.0 version of shared libraries into rootfs (when generating system image by PetaLinux) we have to copy recepies recipes-vitis-ai to the Petalinux project :

Copy  
~/vitis_ai_2_0/tools/Vitis-AI-Recipes/recipes-vitis-ai

to

~/work/TE0802_02_240/test_board/os/petalinux/project-spec/meta-user/

In text editor, append these lines:

CONFIG_xrt
CONFIG_xrt-dev
CONFIG_zocl
CONFIG_opencl-clhpp-dev
CONFIG_opencl-headers-dev
CONFIG_packagegroup-petalinux-opencv
CONFIG_packagegroup-petalinux-opencv-dev
CONFIG_dnf
CONFIG_e2fsprogs-resize2fs
CONFIG_parted
CONFIG_resize-part
CONFIG_packagegroup-petalinux-vitisai
CONFIG_packagegroup-petalinux-self-hosted
CONFIG_cmake
CONFIG_packagegroup-petalinux-vitisai-dev
CONFIG_mesa-megadriver
CONFIG_packagegroup-petalinux-x11
CONFIG_packagegroup-petalinux-v4lutils
CONFIG_packagegroup-petalinux-matchbox
CONFIG_vitis-ai-library
CONFIG_vitis-ai-library-dev
CONFIG_vitis-ai-library-dbg

to the user-rootfsconfig file:
~/work/TE0802_02_240/test_board/os/petalinux/project-spec/meta-user/conf/user-rootfsconfig

xrt, xrt-dev and zocl  are required for Vitis acceleration flow.
dnf is for package management.
parted, e2fsprogs-resize2fs and resize-part can be used for ext4 partition resize.

Other included packages serve for natively building Vitis AI applications on target board and for running Vitis-AI demo applications with GUI.

The last three packages will enable use of the Vitis-AI 2.0 recepies for installation of the correspoding Vitis-AI 2.0 libraries into rootfs of PetaLinux.

Enable all required packages in Petalinux configuration, from the Ubuntu terminal:

$ petalinux-config -c rootfs

Select all user packages by typing “y”. All packages will have to have an asterisk.

Still in the RootFS configuration window, go to root directory by select Exit once.

Enable OpenSSH and Disable Dropbear


Dropbear is the default SSH tool in Vitis Base Embedded Platform. If OpenSSH is used to replace Dropbear, the system could achieve faster data transmission speed over ssh. Created Vitis extensible platform applications may use remote display feature. Using of OpenSSH can improve the display experience.

Go to Image Features.
Disable ssh-server-dropbear and enable ssh-server-openssh and click Exit.

Go to Filesystem Packages->misc->packagegroup-core-ssh-dropbear and disable packagegroup-core-ssh-dropbear.

Go to Filesystem Packages level by Exit twice.

Go to console->network->openssh and enable openssh, openssh-sftp-server, openssh-sshd, openssh-scp.

Go to root level by selection of Exit four times.

Enable Package Management


Package management feature can allow the board to install and upgrade software packages on the fly.

In rootfs config go to Image Features and enable package-management and debug_tweaks option
Click OK, Exit twice and select Yes to save the changes.

Disable CPU IDLE in Kernel Config


CPU IDLE would cause processors get into IDLE state (WFI) when the processor is not in use. When JTAG is connected, the hardware server on host machine talks to the processor regularly. If it talks to a processor in IDLE status, the system will hang because of incomplete AXI transactions.

So, it is recommended to disable the CPU IDLE feature during project development phase.

It can be re-enabled after the design has completed to save power in final products.

Launch kernel config:

$ petalinux-config -c kernel

Ensure the following items are TURNED OFF by entering 'n' in the [ ] menu selection:

CPU Power Management->CPU Idle->CPU idle PM support

CPU Power Management->CPU Frequency scaling->CPU Frequency scaling

Exit and Yes to Save changes.

Add EXT4 rootfs Support


Let PetaLinux generate EXT4 rootfs. In terminal, execute:

$ petalinux-config

Go to Image Packaging Configuration.
Enter into Root File System Type

Select Root File System Type  EXT4

Set the “Device node” of SD device to value
/dev/mmcblk0p2

Exit and Yes to save changes.

Let Linux Use EXT4 rootfs During Boot


The setting of which rootfs to use during boot is controlled by bootargs. We would change bootargs settings to allow Linux to boot from EXT4 partition.

In terminal, execute:

$ petalinux-config

Change DTG settings->Kernel Bootargs->generate boot args automatically to NO.

Update User Set Kernel Bootargs to:
earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/mmcblk0p2 rw rootwait cma=512M

Click OK, Exit three times and Save.

Build PetaLinux Image


In terminal, build the PetaLinux project by executing:

$ petalinux-build

The PetaLinux image files will be generated in the directory:
~/work/TE0802_02_240/test_board/os/petalinux/images/linux

Generation of PetaLinux takes some time and requires Ethernet connection and sufficient free disk space.

Create Petalinux SDK 


The SDK is used by Vitis tool to cross compile applications for newly created platfom.

In terminal, execute:

$ petalinux-build --sdk

The generated sysroot package sdk.sh will be located in directory
~/work/TE0802_02_240/test_board/os/petalinux/images/linux
 
Generation of SDK package takes some time and requires sufficient free disk space.
Time needed for these two steps depends also on number of allocated processor cores.

Copy Files for Extensible Platform


Copy these four files:

FilesFromTo
bl31.elf
pmufw.elf
system.dtb
u-boot-dtb.elf
~/work/TE0802_02_240/test_board/os/petalinux/images/linux~/work/TE0802_02_240/test_board_pfm/pfm/boot

Rename the copied file u-boot-dtb.elf to u-boot.elf

The directory
~/work/TE0802_02_240/test_board_pfm/pfm/boot
contains these five files:

  1. bl31.elf
  2. fsbl.elf
  3. pmufw.elf
  4. system.dtb
  5. u-boot.elf

Copy files:

FilesFrom To
boot.scr
system.dtb
~/work/TE0802_02_240/test_board/os/petalinux/images/linux~/work/TE0802_02_240/test_board_pfm/pfm/sd_dir

Copy file:

FileFromTo
init.sh~/work/TE0802_02_240/test_board/misc/sd~/work/TE0802_02_240/test_board_pfm/pfm/sd_dir


init.sh is an place-holder for user defined bash code to be executed after the boot:

#!/bin/sh
normal="\e[39m"
lightred="\e[91m"
lightgreen="\e[92m"
green="\e[32m"
yellow="\e[33m"
cyan="\e[36m"
red="\e[31m"
magenta="\e[95m"

echo -ne $lightred
echo Load SD Init Script
echo -ne $cyan
echo User bash Code can be inserted here and put init.sh on SD
echo -ne $normal

Create Extensible Platform zip File


Create new directory tree:
~/work/TE0802_02_240_move/test_board/os/petalinux/images
~/work/TE0802_02_240_move/test_board/Vivado
~/work/TE0802_02_240_move/test_board_pfm/pfm/boot ~/work/TE0802_02_240_move/test_board_pfm/pfm/sd_dir

Copy all files from the directory:

FilesSourceDestination
all~/work/TE0802_02_240/test_board/os/petalinux/images~/work/TE0802_02_240_move/test_board/os/petalinux/images
all~/work/TE0802_02_240/test_board_pfm/pfm/boot~/work/TE0802_02_240_move/test_board_pfm/pfm/boot
all~/work/TE0802_02_240/test_board_pfm/pfm/sd_dir~/work/TE0802_02_240_move/test_board_pfm/pfm/sd_dir
test_board_2cg_i1gb.xsa~/work/TE0802_02_240/test_board/Vivado/test_board_2cg_i1gb.xsa~/work/TE0802_02_240_move/test_board/Vivado/test_board_2cg_i1gb.xsa

Zip the directory
~/work/TE0802_02_240_move
into ZIP archive:
~/work/TE0802_02_240_move.zip

The archive TE0802_02_240_move.zip can be used to create extensible platform on the same or on an another PC with installed Ubuntu 20.04 and Vitis tools, with or without installed Petalinux. The archive includes all needed components, including the Xilinx xrt library and the script sdk.sh serving for generation of the sysroot .

The zip archive has size approximately 5.3 GB.

Move the TE0802_02_240_move.zip file to an PC disk drive.

Delete:
~/work/TE0802_02_240_move
~/work/TE0802_02_240_move.zip
Clean the Ubuntu Trash.

Generation of SYSROOT


This part of development can be direct continuation of the previous Petalinux configuration and compilation steps.

Alternatively, it is also possible to implement all next steps on an Ubuntu 20.04 without installed PetaLinux Only the Ubuntu 20.04 and Vitis/Vivado installation is needed.
All required files created in the PetaLinux for the specific board (24) are present in the archive: TE0802_02_240_move.zip
In this case, unzip the archive to the directory:
~/work/TE0802_02_240_move
and copy all content of directories to
~/work/TE0802_02_240
Delete the TE0802_02_240_move.zip file and the ~/work/TE0802_02_240_move directory to save filesystem space.

In Ubuntu terminal, change the working directory to:
~/work/TE0802_02_240/test_board/os/petalinux/images/linux

In Ubuntu terminal, execute script enabling access to Vitis 2021 tools.
Execution of script serving for setting up PetaLinux environment is not necessary:

$ source /tools/Xilinx/Vitis/2021.2/settings64.sh

In Ubuntu terminal, execute script

$ ./sdk.sh -d ~/work/TE0802_02_240/test_board_pfm

SYSROOT directories and files for PC and for Zynq Ultrascale+  will be created in:
~/work/TE0802_02_240/test_board_pfm/sysroots/x86_64-petalinux-linux
~/work/TE0802_02_240/test_board_pfm/sysroots/cortexa72-cortexa53-xilinx-linux

Once created, do not move these sysroot directories (due to some internally created paths).

Generation of Extensible Platform for Vitis


In Ubuntu terminal, change the working directory to:
~/work/TE0802_02_240/test_board_pfm

Start the Vitis tool by executing

$ vitis &

In Vitis “Launcher”, set the workspace for the extensible platform compilation:
~/work/TE0802_02_240/test_board_pfm

Click on “Launch” to launch Vitis

Close Welcome page.

In Vitis, select in the main menu: File -> New -> Platform Project

Type name of the extensible platform:  TE0802_02_240_pfm. Click Next.

 Choose for hardware specification for the platform file:
 ~/work/TE0802_02_240/test_board/vivado/test_board_2cg_i1gb.xsa

In “Software specification” select: linux
In “Boot Components” unselect Generate boot components
(these components have been already generated by Vivado and PetaLinux design flow)

New window TE0802_02_240_pfm is opened.

Click on linux on psu_cortex53 to open window Domain: linux_domain

In “Description”: write xrt  

In “Bif File” find and select the pre-defied option:  Generate Bif

In “Boot Components Directory” select:
~/work/TE0802_02_240/test_board_pfm/pfm/boot

In “FAT32 Partition Directory” select:
~/work/TE0802_02_240/test_board_pfm/pfm/sd_dir

In Vitis IDE “Explorer” section, click on TE0802_02_240_pfm to highlight it.

Right-click on the highlighted TE0802_02_240_pfm and select build project in the open submenu. Platform is compiled in few seconds.
Close the Vitis tool by selection: File -> Exit.

Vitis extensible platform TE0802_02_240_pfm has been created in the directory:
~/work/TE0802_02_240/test_board_pfm/TE0802_02_240_pfm/export/TE0802_02_240_pfm

Platform Usage


Test 1: Read Platform Info


With Vitis environment setup, platforminfo tool can report XPFM platform information.

platforminfo ~/work/TE0802_02_240/test_board_pfm/TE0802_02_240_pfm/export/TE0802_02_240_pfm/TE0802_02_240_pfm.xpfm 
Detailed listing from platforminfo utility
==========================
Basic Platform Information
==========================
Platform:           TE0802_02_240_pfm
File:               /home/devel/work/TE0802_02_240/test_board_pfm/TE0802_02_240_pfm/export/TE0802_02_240_pfm/TE0802_02_240_pfm.xpfm
Description:         TE0802_02_240_pfm
    

=====================================
Hardware Platform (Shell) Information
=====================================
Vendor:                           vendor
Board:                            zusys
Name:                             zusys
Version:                          1.0
Generated Version:                2021.2.1
Hardware:                         1
Software Emulation:               1
Hardware Emulation:               1
Hardware Emulation Platform:      0
FPGA Family:                      zynquplus
FPGA Device:                      xczu2cg
Board Vendor:                     trenz.biz
Board Name:                       trenz.biz:TE0802_2cg_1e:3.0
Board Part:                       xczu2cg-sfvc784-1-e

=================
Clock Information
=================
  Default Clock Index: 4
  Clock Index:         1
    Frequency:         100.000000
  Clock Index:         2
    Frequency:         200.000000
  Clock Index:         3
    Frequency:         400.000000
  Clock Index:         4
    Frequency:         240.000000

==================
Memory Information
==================
  Bus SP Tag: HP0
  Bus SP Tag: HP1
  Bus SP Tag: HP2
  Bus SP Tag: HP3
  Bus SP Tag: HPC0
  Bus SP Tag: HPC1

=============================
Software Platform Information
=============================
Number of Runtimes:            1
Default System Configuration:  TE0802_02_240_pfm
System Configurations:
  System Config Name:                      TE0802_02_240_pfm
  System Config Description:               TE0802_02_240_pfm
  System Config Default Processor Group:   linux_domain
  System Config Default Boot Image:        standard
  System Config Is QEMU Supported:         1
  System Config Processor Groups:
    Processor Group Name:      linux on psu_cortexa53
    Processor Group CPU Type:  cortex-a53
    Processor Group OS Name:   linux
  System Config Boot Images:
    Boot Image Name:           standard
    Boot Image Type:           
    Boot Image BIF:            TE0802_02_240_pfm/boot/linux.bif
    Boot Image Data:           TE0802_02_240_pfm/linux_domain/image
    Boot Image Boot Mode:      sd
    Boot Image RootFileSystem: 
    Boot Image Mount Path:     /mnt
    Boot Image Read Me:        TE0802_02_240_pfm/boot/generic.readme
    Boot Image QEMU Args:      TE0802_02_240_pfm/qemu/pmu_args.txt:TE0802_02_240_pfm/qemu/qemu_args.txt
    Boot Image QEMU Boot:      
    Boot Image QEMU Dev Tree:  
Supported Runtimes:
  Runtime: OpenCL

Test 2: Run Vector Addition Example


Create new directorytest_board_test_vadd  to test Vitis extendable flow example “vector addition”
~/work/TE0802_02_240/test_board_test_vadd

Current directory structure:
~/work/TE0802_02_240/test_board
~/work/TE0802_02_240/test_board_pfm
~/work/TE0802_02_240/test_board_test_vadd

Change working directory:

$cd ~/work/TE0802_02_240/test_board_test_vadd

In Ubuntu terminal, start Vitis by:

$ vitis &

In Vitis IDE Launcher, select your working directory
~/work/TE0802_02_240/test_board_test_vadd
Click on Launch to launch Vitis.

Select File -> New -> Application project. Click Next.

Skip welcome page if shown.

Click on “+ Add” icon and select the custom extensible platform TE0802_02_240_pfm[custom] in the directory:
~/work/TE0802_02_240/test_board_pfm/TE0802_02_240_pfm/export/TE0802_02_240_pfm

We can see available PL clocks and frequencies.

PL4 with 240 MHz clock is has been set as default in the platform creation process.


 Click Next.
In “Application Project Details” window type into Application project name: test_vadd
Click Next.
In “Domain window” type (or select by browse):
“Sysroot path”:
~/work/TE0802_02_240/test_board_pfm/sysroots/cortexa72-cortexa53-xilinx-linux
“Root FS”:
~/work/TE0802_02_240/test_board/os/petalinux/images/linux/rootfs.ext4
“Kernel Image”:
~/work/TE0802_02_240/test_board/os/petalinux/images/linux/Image
Click Next.

In “Templates window”, if not done before, update “Vitis IDE Examples” and “Vitis IDE Libraries”.

Select Host Examples
In “Find”, type: “vector add” to search for the “Vector Addition” example.

Select: “Vector Addition”
Click Finish
New project template is created.

In test_vadd window menu “Active build configuration” switch from “SW Emulation” to “Hardware”.

In “Explorer” section of Vitis IDE, click on:  test_vadd_system[TE0802_02_240_pfm] to select it.

Right Click on:  test_vadd_system[TE0802_02_240_pfm] and select in the opened sub-menu:
Build project

Vitis will compile:
In test_vadd_kernels subproject, compile the krnl_vadd from C++ SW to HDL HW IP source code
In test_vadd_system_hw_link subproject, compile  the krnl_vadd HDL together with TE0802_02_240_pfm into new, extended HW design with new accelerated (krnl_vadd) will run on the default 240 MHz clock. This step can take some time.
In test_vadd subproject, compile the vadd.cpp application example.

Run Compiled Example Application


The sd_card.img file is output of the compilation and packing by Vitis. It is located in directory:
~/work/TE0802_02_240/test_board_test_vadd/test_vadd_system/Hardware/package/sd_card.img

Write the sd card image from the sd_card.img file to SD card.

In Windows Pro 10 (or Windows 11 Pro) PC, inst all program Win32DiskImager  for this task. Win32 Disk Imager can write raw disk image to removable devices.
https://win32diskimager.org/

Insert the SD card to the TE0802 board.

Connect PC USB terminal (115200 bps) card to the TE0802 board.

Connect USB Keyboard and USB Mouse to the TE0802 board.

Connect Ethernet cable to the TE0802 board.

Connect a 4K30 FPS cappable monitor to the Display Port connector of the TE0802 board.

Power on the TE0802 board.

In PC, find the assigned serial line COM port number for the USB terminal. In case of Win 10 or Win 11 use device manager.

In PC, open serial line terminal with the assigned COM port number. Speed 115200 bps.

Display Port Monitor indicates text “Please wait: Booting…” (white text, black background).

X11 screen opens on Display port with 4K30 FPS resolution.

X11 screen opens on Display port with 4K@30FPS resolution.

The DisplayPort might have problem to synchronize with some monitors.
If this happens, try to use an 4K monitor or use the PC Debian remote X11 support.

Monitor DELL E2020H Essential (19.5" with max resolution 1600x900) was not recognised by the TE0802 board and lower resolution was not found.
Monitor HP P22G4  (22" with max resolution 1920x1080)  was not recognised by the TE0802 board  and lower resolution was not found..

4K DisplayPort 27" monitor BENQ BL 2711U was not able to synchronie in the default 4K@30 FPS resolution but it worked OK in the Full HD 1920x1080@60FPS resolution.

4K DisplayPort 27" monitor LG27UD88-WF worked in the default maximum 4K@30FPS resolution and all standard lower resolutions like Full HD 1920x1080@60FPS, 800x600@60FPS ...

TE0802 USB connected mouse and keyboard can be used. Mouse has been connected via USB hub present in the Raspberry Keboard.

Click on “Terminal” icon (A Unicode capable "rxvt" application)

Terminal opens as an X11 graphic window.

In terminal, use the keyboard and mouse connected to the TE0802 board and type:

sh-5.0# cd /media/sd-mmcblk0p1/
sh-5.0# ./test_vadd krnl_vadd.xclbin

The application test_vadd should run with this output:

sh-5.0# cd /media/sd-mmcblk0p1/
sh-5.0# ./test_vadd krnl_vadd.xclbin
INFO: Reading krnl_vadd.xclbin
Loading: 'krnl_vadd.xclbin'
Trying to program device[0]: edge
Device[0]: program successful!
TEST PASSED
sh-5.0#

The Vitis application has been evaluated on custom TE0802 system with extensible custom TE0802_02_240_pfm platform.

Close the rxvt terminal emulator by click ”x” icon (in the upper right corner) or by typing:

# exit

In X11, click on ”Shutdown” icon to close down safely.System is halted. Messages relate to halt of the system can be seen on the USB terminal).
The SD card can be safely removed from the TE0706-03 carrier board, now. The TE0802 board can be disconnected from power.

The display resolution can be adjusted by user commands in TE0802 terminal:

root@petalinux:~# export DISPLAY=:0.0
root@petalinux:~# xrandr --output DP-1 --mode 800x600

Adjustment of X11 display resolution.

 

Adjusted X11 display resolution.

 



Application test_vadd executed in terminal emulator on X11 display with resolution adjusted to 800x600. 

Remote X11 can be also started on Ubuntu PC connected to the TE0802 board via Ethernet.
The comminication is enabled by program PuTTY running on Ununtu PC with selected option: Enable X11 forwarding.
This option can be selected in SSH -> X11 -> X11 forwarding menu of PuTTY .

Login to TE0802 PetaLinux by user> root and Pswd: root

Start the X11 session manager on TE0802 by:

root@petalinux:~# x-session-manager &

In the X11 session, Terminal emulator(s) can be started and TE0802 application(s) can be executed with X11 support.

The remote X11 desktop is using PC keyboard and mouse and forwards them to the TE0802 board via Ethernet.

Application test_vadd is executed in remote X11 terminal. top application and mc application are running in parallel.

To close the session, exit from all termial emulators and click on Shut down ico to halt the TE0802 petalinux.
The PuTTY session is terminated and the remote X11 emulation is closed.

Please, wait for complete halt TE0802 board.  It is indicated in the USB terminal by:
reboot: Power down

SD card can be removed from TE0802.
TE0802 power can be disconnected from power.

Full listing of PC USB petalinux console after following operations are performed:
--------------------------------------------------------------------------------
Xilinx Zynq MP First Stage Boot Loader (TE modified)
Release 2021.2   Dec 13 2022  -  10:39:01
Device Name: XCZU2CG

--------------------------------------------------------------------------------
TE0802 TE_XFsbl_BoardInit_Custom
Reset Complete

--------------------------------------------------------------------------------
NOTICE:  BL31: v2.4(release):xlnx_rebase_v2.4_2021.1_update1-23-g9188496b9
NOTICE:  BL31: Built : 07:41:24, Oct 13 2021


U-Boot 2021.01 (Oct 12 2021 - 09:28:42 +0000)

CPU:   ZynqMP
Silicon: v3
Board: Xilinx ZynqMP
DRAM:  1023 MiB
PMUFW:  v1.1
EL Level:       EL2
Chip ID:        zu2cg
NAND:  0 MiB
MMC:   mmc@ff160000: 0
Loading Environment from FAT... *** Warning - bad CRC, using default environment

In:    serial
Out:   serial
Err:   serial
Bootmode: SD_MODE
Reset reason:   EXTERNAL
Net:
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr 1, interface rgmii-id

Warning: ethernet@ff0e0000 (eth0) using random MAC address - 22:fb:05:9d:af:ef
eth0: ethernet@ff0e0000
Hit any key to stop autoboot:  0
switch to partitions #0, OK
mmc0 is current device
Scanning mmc 0:1...
Found U-Boot script /boot.scr
2710 bytes read in 16 ms (165 KiB/s)
## Executing script at 20000000
Trying to load boot images from mmc0
21787136 bytes read in 2143 ms (9.7 MiB/s)
40178 bytes read in 19 ms (2 MiB/s)
## Flattened Device Tree blob at 00100000
   Booting using the fdt blob at 0x100000
   Loading Device Tree to 000000003dd05000, end 000000003dd11cf1 ... OK

Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
[    0.000000] Linux version 5.10.0-xilinx-v2021.2 (oe-user@oe-host) (aarch64-xilinx-linux-gcc (GCC) 10.2.0, GNU ld (GNU Binutils) 2.35.1) #1 SMP Tue Oct 12 09:30:57 UTC 2021
[    0.000000] Machine model: xlnx,zynqmp
[    0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')
[    0.000000] printk: bootconsole [cdns0] enabled
[    0.000000] efi: UEFI not found.
[    0.000000] cma: Reserved 128 MiB at 0x0000000035c00000
[    0.000000] Zone ranges:
[    0.000000]   DMA32    [mem 0x0000000000000000-0x000000003fefffff]
[    0.000000]   Normal   empty
[    0.000000] Movable zone start for each node
[    0.000000] Early memory node ranges
[    0.000000]   node   0: [mem 0x0000000000000000-0x000000003fefffff]
[    0.000000] Zeroed struct page in unavailable ranges: 256 pages
[    0.000000] Initmem setup node 0 [mem 0x0000000000000000-0x000000003fefffff]
[    0.000000] psci: probing for conduit method from DT.
[    0.000000] psci: PSCIv1.1 detected in firmware.
[    0.000000] psci: Using standard PSCI v0.2 function IDs
[    0.000000] psci: MIGRATE_INFO_TYPE not supported.
[    0.000000] psci: SMC Calling Convention v1.2
[    0.000000] percpu: Embedded 22 pages/cpu s49624 r8192 d32296 u90112
[    0.000000] Detected VIPT I-cache on CPU0
[    0.000000] CPU features: detected: ARM erratum 845719
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 257796
[    0.000000] Kernel command line: earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/mmcblk0p2 rw rootwait cma=128M
[    0.000000] Dentry cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
[    0.000000] Inode-cache hash table entries: 65536 (order: 7, 524288 bytes, linear)
[    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
[    0.000000] Memory: 874244K/1047552K available (14080K kernel code, 988K rwdata, 3964K rodata, 2112K init, 591K bss, 42236K reserved, 131072K cma-reserved)
[    0.000000] rcu: Hierarchical RCU implementation.
[    0.000000] rcu:     RCU event tracing is enabled.
[    0.000000] rcu:     RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[    0.000000] GIC: Using split EOI/Deactivate mode
[    0.000000] irq-xilinx: /amba_pl@0/interrupt-controller@80000000: num_irq=32, sw_irq=0, edge=0x0
[    0.000000] random: get_random_bytes called from start_kernel+0x31c/0x524 with crng_init=0
[    0.000000] arch_timer: cp15 timer(s) running at 33.33MHz (phys).
[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x7b00c47c0, max_idle_ns: 440795202120 ns
[    0.000005] sched_clock: 56 bits at 33MHz, resolution 30ns, wraps every 2199023255541ns
[    0.008405] Console: colour dummy device 80x25
[    0.012419] Calibrating delay loop (skipped), value calculated using timer frequency.. 66.66 BogoMIPS (lpj=133333)
[    0.022666] pid_max: default: 32768 minimum: 301
[    0.027457] Mount-cache hash table entries: 2048 (order: 2, 16384 bytes, linear)
[    0.034612] Mountpoint-cache hash table entries: 2048 (order: 2, 16384 bytes, linear)
[    0.044379] rcu: Hierarchical SRCU implementation.
[    0.047458] EFI services will not be available.
[    0.051795] smp: Bringing up secondary CPUs ...
[    0.056673] Detected VIPT I-cache on CPU1
[    0.056750] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
[    0.056849] smp: Brought up 1 node, 2 CPUs
[    0.070672] SMP: Total of 2 processors activated.
[    0.075346] CPU features: detected: 32-bit EL0 Support
[    0.080447] CPU features: detected: CRC32 instructions
[    0.085598] CPU: All CPU(s) started at EL2
[    0.089629] alternatives: patching kernel code
[    0.095505] devtmpfs: initialized
[    0.102884] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[    0.107018] futex hash table entries: 512 (order: 3, 32768 bytes, linear)
[    0.120101] pinctrl core: initialized pinctrl subsystem
[    0.120986] DMI not present or invalid.
[    0.123927] NET: Registered protocol family 16
[    0.130010] DMA: preallocated 128 KiB GFP_KERNEL pool for atomic allocations
[    0.135031] DMA: preallocated 128 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
[    0.142872] audit: initializing netlink subsys (disabled)
[    0.148307] audit: type=2000 audit(0.104:1): state=initialized audit_enabled=0 res=1
[    0.148861] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[    0.162664] ASID allocator initialised with 65536 entries
[    0.168130] Serial: AMBA PL011 UART driver
[    0.197352] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages
[    0.198411] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages
[    0.205091] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[    0.211748] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages
[    1.264010] cryptd: max_cpu_qlen set to 1000
[    1.294769] DRBG: Continuing without Jitter RNG
[    1.375285] raid6: neonx8   gen()  2135 MB/s
[    1.443332] raid6: neonx8   xor()  1593 MB/s
[    1.511411] raid6: neonx4   gen()  2188 MB/s
[    1.579461] raid6: neonx4   xor()  1565 MB/s
[    1.647543] raid6: neonx2   gen()  2076 MB/s
[    1.715597] raid6: neonx2   xor()  1438 MB/s
[    1.783669] raid6: neonx1   gen()  1774 MB/s
[    1.851729] raid6: neonx1   xor()  1219 MB/s
[    1.919809] raid6: int64x8  gen()  1437 MB/s
[    1.987870] raid6: int64x8  xor()   771 MB/s
[    2.055941] raid6: int64x4  gen()  1601 MB/s
[    2.124011] raid6: int64x4  xor()   818 MB/s
[    2.192090] raid6: int64x2  gen()  1398 MB/s
[    2.260137] raid6: int64x2  xor()   750 MB/s
[    2.328231] raid6: int64x1  gen()  1032 MB/s
[    2.396281] raid6: int64x1  xor()   517 MB/s
[    2.396327] raid6: using algorithm neonx4 gen() 2188 MB/s
[    2.400279] raid6: .... xor() 1565 MB/s, rmw enabled
[    2.405212] raid6: using neon recovery algorithm
[    2.410631] iommu: Default domain type: Translated
[    2.415010] SCSI subsystem initialized
[    2.418607] usbcore: registered new interface driver usbfs
[    2.423853] usbcore: registered new interface driver hub
[    2.429112] usbcore: registered new device driver usb
[    2.434176] mc: Linux media interface: v0.10
[    2.438362] videodev: Linux video capture interface: v2.00
[    2.443851] EDAC MC: Ver: 3.0.0
[    2.447555] zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels.
[    2.455674] FPGA manager framework
[    2.458913] Advanced Linux Sound Architecture Driver Initialized.
[    2.465297] Bluetooth: Core ver 2.22
[    2.468378] NET: Registered protocol family 31
[    2.472771] Bluetooth: HCI device and connection manager initialized
[    2.479089] Bluetooth: HCI socket layer initialized
[    2.483929] Bluetooth: L2CAP socket layer initialized
[    2.488956] Bluetooth: SCO socket layer initialized
[    2.494259] clocksource: Switched to clocksource arch_sys_counter
[    2.500068] VFS: Disk quotas dquot_6.6.0
[    2.503801] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[    2.515504] NET: Registered protocol family 2
[    2.516130] tcp_listen_portaddr_hash hash table entries: 512 (order: 1, 8192 bytes, linear)
[    2.523218] TCP established hash table entries: 8192 (order: 4, 65536 bytes, linear)
[    2.530954] TCP bind hash table entries: 8192 (order: 5, 131072 bytes, linear)
[    2.538397] TCP: Hash tables configured (established 8192 bind 8192)
[    2.544546] UDP hash table entries: 512 (order: 2, 16384 bytes, linear)
[    2.550996] UDP-Lite hash table entries: 512 (order: 2, 16384 bytes, linear)
[    2.558107] NET: Registered protocol family 1
[    2.562806] RPC: Registered named UNIX socket transport module.
[    2.568197] RPC: Registered udp transport module.
[    2.572850] RPC: Registered tcp transport module.
[    2.577521] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    2.584613] PCI: CLS 0 bytes, default 64
[    2.616090] Initialise system trusted keyrings
[    2.616308] workingset: timestamp_bits=46 max_order=18 bucket_order=0
[    2.622390] NFS: Registering the id_resolver key type
[    2.626360] Key type id_resolver registered
[    2.630489] Key type id_legacy registered
[    2.634494] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    2.641147] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
[    2.683281] NET: Registered protocol family 38
[    2.683338] xor: measuring software checksum speed
[    2.691033]    8regs           :  2363 MB/sec
[    2.694692]    32regs          :  2799 MB/sec
[    2.699628]    arm64_neon      :  2380 MB/sec
[    2.699816] xor: using function: 32regs (2799 MB/sec)
[    2.704848] Key type asymmetric registered
[    2.708907] Asymmetric key parser 'x509' registered
[    2.713786] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)
[    2.721106] io scheduler mq-deadline registered
[    2.725601] io scheduler kyber registered
[    2.732158] ps_pcie_dma init()
[    2.761803] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[    2.764185] Serial: AMBA driver
[    2.768126] cacheinfo: Unable to detect cache hierarchy for CPU 0
[    2.778085] brd: module loaded
[    2.785003] loop: module loaded
[    2.787075] mtdoops: mtd device (mtddev=name/number) must be supplied
[    2.790878] libphy: Fixed MDIO Bus: probed
[    2.794535] tun: Universal TUN/TAP device driver, 1.6
[    2.798126] CAN device driver interface
[    2.802538] usbcore: registered new interface driver asix
[    2.807280] usbcore: registered new interface driver ax88179_178a
[    2.813253] usbcore: registered new interface driver cdc_ether
[    2.819045] usbcore: registered new interface driver net1080
[    2.824667] usbcore: registered new interface driver cdc_subset
[    2.830553] usbcore: registered new interface driver zaurus
[    2.836097] usbcore: registered new interface driver cdc_ncm
[    2.842694] usbcore: registered new interface driver uas
[    2.846999] usbcore: registered new interface driver usb-storage
[    2.853803] rtc_zynqmp ffa60000.rtc: registered as rtc0
[    2.858150] rtc_zynqmp ffa60000.rtc: setting system clock to 2018-03-09T12:39:28 UTC (1520599168)
[    2.866989] i2c /dev entries driver
[    2.871993] usbcore: registered new interface driver uvcvideo
[    2.876112] USB Video Class driver (1.1.1)
[    2.880743] Bluetooth: HCI UART driver ver 2.3
[    2.884594] Bluetooth: HCI UART protocol H4 registered
[    2.889691] Bluetooth: HCI UART protocol BCSP registered
[    2.894988] Bluetooth: HCI UART protocol LL registered
[    2.900071] Bluetooth: HCI UART protocol ATH3K registered
[    2.905448] Bluetooth: HCI UART protocol Three-wire (H5) registered
[    2.911696] Bluetooth: HCI UART protocol Intel registered
[    2.917039] Bluetooth: HCI UART protocol QCA registered
[    2.922248] usbcore: registered new interface driver bcm203x
[    2.927870] usbcore: registered new interface driver bpa10x
[    2.933401] usbcore: registered new interface driver bfusb
[    2.938850] usbcore: registered new interface driver btusb
[    2.944317] usbcore: registered new interface driver ath3k
[    2.949818] EDAC MC: ECC not enabled
[    2.953417] EDAC DEVICE0: Giving out device to module edac controller cache_err: DEV edac (POLLED)
[    2.962356] EDAC DEVICE1: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[    2.974575] sdhci: Secure Digital Host Controller Interface driver
[    2.980429] sdhci: Copyright(c) Pierre Ossman
[    2.984753] sdhci-pltfm: SDHCI platform and OF driver helper
[    2.990811] ledtrig-cpu: registered to indicate activity on CPUs
[    2.996364] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping ....
[    3.002797] zynqmp_firmware_probe Platform Management API v1.1
[    3.008545] zynqmp_firmware_probe Trustzone version v1.0
[    3.068625] securefw securefw: securefw probed
[    3.069149] alg: No test for xilinx-zynqmp-aes (zynqmp-aes)
[    3.073182] zynqmp_aes firmware:zynqmp-firmware:zynqmp-aes: AES Successfully Registered
[    3.081243] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384)
[    3.087417] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)
[    3.093003] usbcore: registered new interface driver usbhid
[    3.098244] usbhid: USB HID core driver
[    3.105311] ARM CCI_400_r1 PMU driver probed
[    3.105880] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[    3.113211] usbcore: registered new interface driver snd-usb-audio
[    3.119800] pktgen: Packet Generator for packet performance testing. Version: 2.75
[    3.127205] Initializing XFRM netlink socket
[    3.130707] NET: Registered protocol family 10
[    3.135664] Segment Routing with IPv6
[    3.138875] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[    3.145060] NET: Registered protocol family 17
[    3.148947] NET: Registered protocol family 15
[    3.153357] can: controller area network core
[    3.157706] NET: Registered protocol family 29
[    3.162076] can: raw protocol
[    3.165014] can: broadcast manager protocol
[    3.169169] can: netlink gateway - max_hops=1
[    3.173608] Bluetooth: RFCOMM TTY layer initialized
[    3.178346] Bluetooth: RFCOMM socket layer initialized
[    3.183463] Bluetooth: RFCOMM ver 1.11
[    3.187164] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[    3.192429] Bluetooth: BNEP filters: protocol multicast
[    3.197625] Bluetooth: BNEP socket layer initialized
[    3.202552] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[    3.208435] Bluetooth: HIDP socket layer initialized
[    3.213556] 9pnet: Installing 9P2000 support
[    3.217643] Key type dns_resolver registered
[    3.222097] registered taskstats version 1
[    3.225907] Loading compiled-in X.509 certificates
[    3.232797] Btrfs loaded, crc32c=crc32c-generic
[    3.249997] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 53, base_baud = 6249999) is a xuartps
[    3.259057] printk: console [ttyPS0] enabled
[    3.259057] printk: console [ttyPS0] enabled
[    3.263367] printk: bootconsole [cdns0] disabled
[    3.263367] printk: bootconsole [cdns0] disabled
[    3.273280] of-fpga-region fpga-full: FPGA Region probed
[    3.283084] nwl-pcie fd0e0000.pcie: host bridge /axi/pcie@fd0e0000 ranges:
[    3.290005] nwl-pcie fd0e0000.pcie:      MEM 0x00e0000000..0x00efffffff -> 0x00e0000000
[    3.298023] nwl-pcie fd0e0000.pcie:      MEM 0x0600000000..0x07ffffffff -> 0x0600000000
[    3.306132] nwl-pcie fd0e0000.pcie: Link is UP
[    3.310804] nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00
[    3.316998] pci_bus 0000:00: root bus resource [bus 00-ff]
[    3.322477] pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff]
[    3.329350] pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref]
[    3.336881] pci 0000:00:00.0: [10ee:d021] type 01 class 0x060400
[    3.342982] pci 0000:00:00.0: PME# supported from D0 D1 D2 D3hot
[    3.350603] pci 0000:01:00.0: [144d:a808] type 00 class 0x010802
[    3.356634] pci 0000:01:00.0: reg 0x10: [mem 0x00000000-0x00003fff 64bit]
[    3.363628] pci 0000:01:00.0: 4.000 Gb/s available PCIe bandwidth, limited by 5.0 GT/s PCIe x1 link at 0000:00:00.0 (capable of 31.504 Gb/s with 8.0 GT/s PCIe x4 link)
[    3.379787] pci 0000:00:00.0: BAR 8: assigned [mem 0xe0000000-0xe00fffff]
[    3.386576] pci 0000:01:00.0: BAR 0: assigned [mem 0xe0000000-0xe0003fff 64bit]
[    3.393893] pci 0000:00:00.0: PCI bridge to [bus 01-0c]
[    3.399116] pci 0000:00:00.0:   bridge window [mem 0xe0000000-0xe00fffff]
[    3.406054] ps_pcie_dma 0000:00:00.0: PS PCIe DMA Driver probe
[    3.411894] ps_pcie_dma 0000:00:00.0: enabling device (0000 -> 0002)
[    3.418604] nvme nvme0: pci function 0000:01:00.0
[    3.423807] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[    3.431051] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[    3.438276] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[    3.438309] nvme 0000:01:00.0: enabling device (0000 -> 0002)
[    3.445511] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[    3.458120] nvme nvme0: missing or invalid SUBNQN field.
[    3.458252] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[    3.463507] nvme nvme0: Shutdown timeout set to 8 seconds
[    3.470639] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[    3.483000] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[    3.490251] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[    3.497557] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[    3.504777] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[    3.511994] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[    3.519225] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[    3.526455] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[    3.533671] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[    3.540896] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[    3.548116] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[    3.555604] xilinx-zynqmp-dpdma fd4c0000.dma-controller: Xilinx DPDMA engine is probed
[    3.565038] zynqmp-display fd4a0000.display: vtc bridge property not present
[    3.572481] nvme nvme0: 1/0/0 default/read/poll queues
[    3.574631] xilinx-dp-snd-codec fd4a0000.display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
[    3.588079] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
[    3.596334] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[    3.606099] xilinx-dp-snd-card fd4a0000.display:zynqmp_dp_snd_card: ASoC: no DMI vendor name!
[    3.615696] xilinx-dp-snd-card fd4a0000.display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed
[    3.625352] OF: graph: no port node found in /axi/display@fd4a0000
[    3.632139] xlnx-drm xlnx-drm.0: bound fd4a0000.display (ops 0xffff800010e9b9c8)
[    3.766122] random: fast init done
[    7.762487] zynqmp-display fd4a0000.display: [drm] Cannot find any crtc or sizes
[    7.770348] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.display on minor 0
[    7.777850] zynqmp-display fd4a0000.display: ZynqMP DisplayPort Subsystem driver probed
[    7.786710] zynqmp-qspi ff0f0000.spi: rx bus width not found
[    7.792368] zynqmp-qspi ff0f0000.spi: tx bus width not found
[    7.798883] spi-nor spi0.0: trying to lock already unlocked area
[    7.804898] spi-nor spi0.0: n25q256a (32768 Kbytes)
[    7.809818] 4 fixed-partitions partitions found on MTD device spi0.0
[    7.816164] Creating 4 MTD partitions on "spi0.0":
[    7.820952] 0x000000000000-0x000000a00000 : "boot"
[    7.826909] 0x000000a00000-0x000000a40000 : "bootenv"
[    7.832833] 0x000000a40000-0x000001f40000 : "kernel"
[    7.838635] 0x000001f40000-0x000001f80000 : "bootscr"
[    7.845362] macb ff0e0000.ethernet: Not enabling partial store and forward
[    7.853255] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[    7.859911] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM
[    7.866509] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM
[    7.873092] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM
[    7.895273] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
[    7.900785] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 1
[    7.908610] xhci-hcd xhci-hcd.1.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000002010810
[    7.918028] xhci-hcd xhci-hcd.1.auto: irq 93, io mem 0xfe200000
[    7.924463] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.10
[    7.932732] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    7.939949] usb usb1: Product: xHCI Host Controller
[    7.944819] usb usb1: Manufacturer: Linux 5.10.0-xilinx-v2021.2 xhci-hcd
[    7.951513] usb usb1: SerialNumber: xhci-hcd.1.auto
[    7.956852] hub 1-0:1.0: USB hub found
[    7.960649] hub 1-0:1.0: 1 port detected
[    7.964853] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
[    7.970355] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 2
[    7.978018] xhci-hcd xhci-hcd.1.auto: Host supports USB 3.0 SuperSpeed
[    7.984661] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.10
[    7.992930] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    8.000145] usb usb2: Product: xHCI Host Controller
[    8.005014] usb usb2: Manufacturer: Linux 5.10.0-xilinx-v2021.2 xhci-hcd
[    8.011705] usb usb2: SerialNumber: xhci-hcd.1.auto
[    8.016868] hub 2-0:1.0: USB hub found
[    8.020649] hub 2-0:1.0: 1 port detected
[    8.025773] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 39
[    8.032620] at24 1-0050: supply vcc not found, using dummy regulator
[    8.039352] at24 1-0050: 256 byte 24aa025 EEPROM, writable, 1 bytes/write
[    8.046180] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 40
[    8.052618] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s
[    8.060183] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer with timeout 10s
[    8.068610] macb ff0e0000.ethernet: Not enabling partial store and forward
[    8.077283] libphy: MACB_mii_bus: probed
[    8.082381] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 37 (80:1f:12:d0:7d:0a)
[    8.096906] of_cfs_init
[    8.099400] of_cfs_init: OK
[    8.101719] mmc0: SDHCI controller on ff160000.mmc [ff160000.mmc] using ADMA 64-bit
[    8.102389] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[    8.151749] mmc0: Problem switching card into high-speed mode!
[    8.158162] mmc0: new SDHC card at address 0001
[    8.163446] mmcblk0: mmc0:0001 SD16G 14.5 GiB
[    8.170183]  mmcblk0: p1 p2
[    8.252044] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
[    8.258586] clk: Not disabling unused clocks
[    8.263063] ALSA device list:
[    8.266024]   #0: DisplayPort monitor
[    8.270427] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[    8.279049] cfg80211: failed to load regulatory.db
[    8.309864] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
[    8.318016] VFS: Mounted root (ext4 filesystem) on device 179:2.
[    8.325279] devtmpfs: mounted
[    8.329753] Freeing unused kernel memory: 2112K
[    8.334412] Run /sbin/init as init process
INIT: version 2.97 booting
Starting udev
[    9.630369] udevd[249]: starting version 3.2.9
[    9.680092] random: udevd: uninitialized urandom read (16 bytes read)
[    9.687787] random: udevd: uninitialized urandom read (16 bytes read)
[    9.694269] random: udevd: uninitialized urandom read (16 bytes read)
[    9.798341] udevd[250]: starting eudev-3.2.9
[   10.069081] zocl: loading out-of-tree module taints kernel.
[   10.069085] zocl: loading out-of-tree module taints kernel.
[   10.076326] [drm] Probing for xlnx,zocl
[   10.084492] zocl-drm a0000000.zyxclmm_drm: IRQ index 32 not found
[   10.090811] [drm] FPGA programming device pcap founded.
[   10.096028] [drm] PR Isolation addr 0x0
[   10.142446] [drm] Initialized zocl 0.0.0 00000 for a0000000.zyxclmm_drm on minor 1
[   10.155450] [drm] Probing for xlnx,zocl
[   10.159697] zocl-drm amba_pl@0:zyxclmm_drm: IRQ index 32 not found
[   10.166173] [drm] FPGA programming device pcap founded.
[   10.171397] [drm] PR Isolation addr 0x0
[   10.171963] [drm] Initialized zocl 0.0.0 00000 for amba_pl@0:zyxclmm_drm on minor 2
[   10.628735] random: crng init done
[   10.632179] random: 6 urandom warning(s) missed due to ratelimiting
[   11.290638] EXT4-fs (nvme0n1): mounted filesystem with ordered data mode. Opts: (null)
[   11.936919] EXT4-fs (mmcblk0p2): re-mounted. Opts: (null)
INIT: Entering runlevel: 5
Configuring network interfaces... udhcpc: started, v1.32.0
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: no lease, forking to background
done.
Starting system message bus: dbus.
Starting random number generator daemon.
Starting haveged: haveged: command socket is listening at fd 3
haveged: haveged starting up


Starting OpenBSD Secure Shell server: sshd
done.
Starting Xserver
Starting rpcbind daemon...
done.
starting statd: done
starting Busybox HTTP Daemon: httpd... done.

X.Org X Server 1.20.9
X Protocol Version 11, Revision 0
Build Operating System: Linux
Current Operating System: Linux petalinux 5.10.0-xilinx-v2021.2 #1 SMP Tue Oct 12 09:30:57 UTC 2021 aarch64
Kernel command line: earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/mmcblk0p2 rw rootwait cma=128M
Build Date: 25 August 2020  03:40:19PM

Current version of pixman: 0.40.0
        Before reporting problems, check http://wiki.x.org
        to make sure that you have the latest version.
Markers: (--) probed, (**) from config file, (==) default setting,
        (++) from command line, (!!) notice, (II) informational,
        (WW) warning, (EE) error, (NI) not implemented, (??) unknown.
(==) Log file: "/var/log/Xorg.0.log", Time: Fri Mar  9 12:39:49 2018
(==) Using config file: "/etc/X11/xorg.conf"
(==) Using system config directory "/usr/share/X11/xorg.conf.d"
Starting internet superserver: inetd.
NFS daemon support not enabled in kernel
Init Start
Run init.sh from SD card
Load SD Init Script
User bash Code can be insered here and put init.sh on SD
Init End
Starting syslogd/klogd: done
Starting internet superserver: xinetd.
Starting watchdog daemon...done
Starting tcf-agent: OK

PetaLinux 2021.2 petalinux ttyPS0


root@petalinux:~# D-BUS per-session daemon address is: unix:abstract=/tmp/dbus-zgwLfOMcmf,guid=8dc6e6347be58a09dbc08b005aa280a1
matchbox: Cant find a keycode for keysym 269025056
matchbox: ignoring key shortcut XF86Calendar=!$contacts

matchbox: Cant find a keycode for keysym 2809
matchbox: ignoring key shortcut telephone=!$dates

matchbox: Cant find a keycode for keysym 269025050
matchbox: ignoring key shortcut XF86Start=!matchbox-remote -desktop

[settings daemon] Forking. run with -n to prevent fork
dbus-daemon[790]: Activating service name='org.a11y.atspi.Registry' requested by ':1.1' (uid=0 pid=786 comm="matchbox-panel --start-applets showdesktop,windows")
dbus-daemon[790]: Successfully activated service 'org.a11y.atspi.Registry'
SpiRegistry daemon is running with well-known name - org.a11y.atspi.Registry

** (matchbox-desktop:785): WARNING **: 12:40:06.037: Error loading icon: Icon 'terminal' not present in theme Sato

root@petalinux:~# ifconfig
eth0      Link encap:Ethernet  HWaddr 80:1F:12:D0:7D:0A
          inet addr:10.0.0.73  Bcast:10.0.0.255  Mask:255.255.255.0
          inet6 addr: fe80::821f:12ff:fed0:7d0a/64 Scope:Link
          inet6 addr: 2a00:1028:919e:d77e:821f:12ff:fed0:7d0a/64 Scope:Global
          UP BROADCAST RUNNING MULTICAST  MTU:1500  Metric:1
          RX packets:4 errors:0 dropped:0 overruns:0 frame:0
          TX packets:9 errors:0 dropped:0 overruns:0 carrier:0
          collisions:0 txqueuelen:1000
          RX bytes:916 (916.0 B)  TX bytes:1322 (1.2 KiB)
          Interrupt:37

lo        Link encap:Local Loopback
          inet addr:127.0.0.1  Mask:255.0.0.0
          inet6 addr: ::1/128 Scope:Host
          UP LOOPBACK RUNNING  MTU:65536  Metric:1
          RX packets:2 errors:0 dropped:0 overruns:0 frame:0
          TX packets:2 errors:0 dropped:0 overruns:0 carrier:0
          collisions:0 txqueuelen:1000
          RX bytes:140 (140.0 B)  TX bytes:140 (140.0 B)

root@petalinux:~# cd /media/sd-mmcblk0p1
root@petalinux:/media/sd-mmcblk0p1# ./test_vadd krnl_vadd.xclbin
INFO: Reading krnl_vadd.xclbin
Loading: 'krnl_vadd.xclbin'
Trying to program device[0]: edge
Device[0]: program successful!
TEST PASSED
root@petalinux:/media/sd-mmcblk0p1# halt

Broadcast message from root@petalinux (ttyPS0) (Fri Mar  9 12:41:10 2018):

INIT: Sending processes configured via /etc/inittab the TERM signal
root@petalinux:/media/sd-mmcblk0p1# Stopping haveged:

Stopping OpenBSD Secure Shell server: sshdstopped /usr/sbin/sshd (pid 661)
.
stopping Busybox HTTP Daemon: httpd... stopped httpd (pid 688)
done.
Stopping system message bus: dbus.
Stopping internet superserver: inetd.
stopping mountd: done
stopping nfsd: done
 Stop.
Stopping syslogd/klogd: stopped syslogd (pid 705)
stopped klogd (pid 708)
done
Stopping tcf-agent: OK
Stopping internet superserver: xinetd.
Stopping XServer
xinit: connection to X server lost

waiting for X server to shut down dbus-daemon[790]: Reloaded configuration
(II) Server terminated successfully (0). Closing log file.

xinit: unexpected signal 15
stopping statd: done
Stopping random number generator daemon.
Stopping rpcbind daemon...
done.
Stopping S.M.A.R.T. daemon: smartd.
Deconfiguring network interfaces... done.
Stopping watchdog daemon...Sending all processes the TERM signal...
logout
Sending all processes the KILL signal...
Unmounting remote filesystems...
Deactivating swap...
Unmounting local filesystems...
[  112.860938] reboot: Power down

  1. Petalinux boot,
  2. ifconfig to find assigned Ethernet address,
  3. test_vadd example executed to test the kernel execution,
  4. halt to proper terminate OS.





Table of contents





App. A: Change History and Legal Notices


Document Change History

To get content of older revision go to "Change History" of this page and select older document revision number.

DateDocument Revision

Authors

Description

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

  • update page name
2023-01-18v.14UTIA
  • fixed SD card partition mount point

2023-01-04

v.12

 UTIA 

  • typo correction
2022-12-16v.11

 UTIA 

  • initial release
--all

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

--
Document change history.

  • No labels