Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"


202106288
  • added boot process for Microblaze
  • minor typos, formatting20210172021-05-046 zynq_ from zynq_fsbl2021042852021-04-274
    DateVersionChangesAuthor
    2023-12-143.1.17
    • updated according to Vivado 2023.2
    ma
    2023-06-133.1.
    • carrier reference note
    jh16
    • Design flow:
      • added alternative programming files in Petalinux
    • added chapter FSBL Patch in Software Design - Petalinux
    ma
    2023-06-013.1.15
    • removed
    • u-boot.dtb from Design flow
    ma
    2023-06-013.1.
    • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
    • minor typos, formatting
    ma14
    • expandable lists for revision history and supported hardware
    wh
    2023-05-253.1.
    • Version History
      • changed from list to table
    • Design flow
      • removed step 5 from Design flow
      • changed link from TE Board Part Files to Vivado Board Part Flow
      • changed cmd shell from picture to codeblock
      • added hidden template for "Copy PetaLinux build image files", depending from hardware
      • added hidden template for "Power on PCB", depending from hardware
    • Usage update of boot process
    • Requirements - Hardware
      • added "*used as reference" for hardware requirements
    • all
      • placed a horizontal separation line under each chapter heading
      • changed title-alignment for tables from left to center
    • all tables
      • added "<project folder>\board_files" in Vivado design sources
    ma3.1.3
    • Design Flow
      • formatting
    • Launch
      • formatting
    ma3.1.2
    • minor typing corrections
    • replaced SDK by Vitis
    • changed from / to \ for windows paths
    • replaced <design name> by <project folder>
    • added "" for path names
    • added boot.src description
    • added USB for programming
    ma3.1.1
    • swapped order from prebuilt files
    • minor typing corrections
    • removed Win OS path length from Design flow, added as caution in Design flow
    ma3.1
    • Fix problem with pdf export and side scroll bar
    • update 19.2 to 20.2
    • add prebuilt content option
    3.0
    • add fix table of content
    • add table size as macro
    • removed page initial creator

    Custom_table_size_100

    Page properties
    hiddentrue
    idComments

    Important General Note:

  • Export PDF to download, if vivado revision is changed!

  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)

    Figure template (note: inner scroll ignore/only only with drawIO object):

    Scroll Title
    anchorFigure_xyz
    titleText
    13
    • updated according to Vivado 2022.2
    ma
    2023-02-083.1.12
    • removed content of
      • Special FSBL for QSPI programming
    ma
    2022-08-243.1.11
    • Modification from link "available short link"
    ma
    2022-01-253.1.10
    • removed u-boot.dtb from QSPI-Boot mode and SD-Boot mode. Is implemented in BOOT.bin
    • corrected Boot Source File in Boot Script-File
    ma
    2022-01-143.1.9
    • extended notes for microblaze boot process with linux
    • add u.boot.dtb to petalinux notes
    • add dtb to prebuilt content
    • replace 20.2 with 21.2
    jh
    2021-06-283.1.8
    • added boot process for Microblaze
    • minor typos, formatting
    ma
    2021-06-013.1.7
    • carrier reference note
    jh
    2021-05-043.1.6
    • removed zynq_ from zynq_fsbl
    ma
    2021-04-283.1.5
    • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
    • minor typos, formatting
    ma
    2021-04-273.1.4
    • Version History
      • changed from list to table
    • Design flow
      • removed step 5 from Design flow
      • changed link from TE Board Part Files to Vivado Board Part Flow
      • changed cmd shell from picture to codeblock
      • added hidden template for "Copy PetaLinux build image files", depending from hardware
      • added hidden template for "Power on PCB", depending from hardware
    • Usage update of boot process
    • Requirements - Hardware
      • added "*used as reference" for hardware requirements
    • all
      • placed a horizontal separation line under each chapter heading
      • changed title-alignment for tables from left to center
    • all tables
      • added "<project folder>\board_files" in Vivado design sources
    ma

    3.1.3
    • Design Flow
      • formatting
    • Launch
      • formatting
    ma

    3.1.2
    • minor typing corrections
    • replaced SDK by Vitis
    • changed from / to \ for windows paths
    • replaced <design name> by <project folder>
    • added "" for path names
    • added boot.scr description
    • added USB for programming
    ma

    3.1.1
    • swapped order from prebuilt files
    • minor typing corrections
    • removed Win OS path length from Design flow, added as caution in Design flow
    ma

    3.1
    • Fix problem with pdf export and side scroll bar
    • update 19.2 to 20.2
    • add prebuilt content option


    3.0
    • add fix table of content
    • add table size as macro
    • removed page initial creator



    Custom_table_size_100

    Scroll Ignore

    Create DrawIO object here: Attention if you copy from other page, use

    Scroll Only

    image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed

  • Table template:

    • Layout macro can be use for landscape of large tables
    • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)
  • Scroll Title
    anchorTable_xyz
    titleText
    Scroll Table LayoutorientationportraitsortDirectionASCrepeatTableHeadersdefaultstylewidthssortByColumn1sortEnabledfalsecellHighlightingtrueExampleComment12
  • ...
  • Overview

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue
    Page properties
    hiddentrue
    idComments

    Notes :

    Zynq PS Design with Linux Example and Camera Demo.

    Refer to http://trenz.org/te0726-info for the current online version of this manual and other available documentation.

    Key Features

    Page properties
    hiddentrue
    idComments

    Notes :

    • Add basic key futures, which can be tested with the design
    Excerpt
    • Vitis/Vivado 2020.2
    • RPI Camera 1.3 or 2.1
    • HDMI
    • PetaLinux
    • SD
    • ETH
    • USB
    • I2C
    • Special FSBL for QSPI programming

    Revision History

    Page properties
    hiddentrue
    idComments

    Notes :

    • add every update file on the download
    • add design changes on description

    Important General Note:

    • Export PDF to download, if vivado revision is changed!

    • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

      • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)
        • Figure template (note: inner scroll ignore/only only with drawIO object):

          Scroll Title
          anchorFigure_xyz
          titleText


          Scroll Ignore

          Create DrawIO object here: Attention if you copy from other page, use


          Scroll Only

          image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



        • Table template:

          • Layout macro can be use for landscape of large tables
          • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)

        • Scroll Title
          anchorTable_xyz
          titleText

          Scroll Table Layout
          orientationportrait
          sortDirectionASC
          repeatTableHeadersdefault
          style
          widths
          sortByColumn1
          sortEnabledfalse
          cellHighlightingtrue

          ExampleComment
          12



    • ...




    Overview

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Notes :

    Zynq PS Design with Linux Example and Camera Demo.

    Refer to http://trenz.org/te0726-info for the current online version of this manual and other available documentation.

    Key Features

    Page properties
    hiddentrue
    idComments

    Notes :

    • Add basic key futures, which can be tested with the design


    Excerpt
    • Vitis/Vivado 2022.2
    • RPI Camera 1.3 or 2.1
    • HDMI
    • PetaLinux
    • SD
    • ETH
    • USB
    • I2C
    • QSPI programming

    Revision History

    Page properties
    hiddentrue
    idComments

    Notes :

    • add every update file on the download
    • add design changes on description


    Scroll Title
    anchorTable_DRH
    title-alignmentcenter
    titleDesign Revision History

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateVivadoProject BuiltAuthorsDescription
    2022-02-232020.2TE0726-zynqberrydemo1_noprebuilt-vivado_2020.2-build_9_20220223125457.zip
    TE0726-zynqberrydemo1-vivado_2020.2-build_9_20220223125446
    Scroll Title
    anchorTable_DRH
    title-alignmentcenter
    titleDesign Revision History
    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    stylewidths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue
    TE0726-zynqberrydemo1-vivado_2019.2-build_10_20200408190958.zip
    TE0726-zynqberrydemo1_noprebuilt-vivado_2019.2-build_10_202004081910102019_noprebuilt201920200325081403201920200325081354/John Hartfielscript update0220_noprebuilt520200214101503520200214101453te0726201831020200113150027te0726201831020200113150016 with Vivado 2018.32018112018
    DateVivadoProject BuiltAuthorsDescription
    2022-02-232020.2TE0726-zynqberrydemo1_noprebuilt-vivado_2020.2-build_9_20220223125457.zip
    TE0726-zynqberrydemo1-vivado_2020.2-build_9_20220223125446.zip
    Mohsen Chamanbaz/John Hartfiel
    • add missing QSPI patch
    2021-10-062020.2TE0726-zynqberrydemo1-vivado_2020.2-build_8_20211006090231.zip
    TE0726-zynqberrydemo1_noprebuilt-vivado_2020.2-build_8_20211006090249.zip
    Mohsen Chamanbaz
    • 2020.2 release
    • Audio hardware platform is changed.
      (Audio formatter, I2S transmitter and I2S receiver)
    • 0001-QSPI-s25fl127_8-2020_2.patch for restart
    2020-04-082019.2.zipMohsen Chamanbaz/John Hartfiel
    • changes FSBL flash
    2020-03-25
    • add missing QSPI patch
    2021-10-062020.2TE0726-zynqberrydemo1-vivado_2020.2-build_8_20211006090231.zip
    TE0726-zynqberrydemo1_noprebuilt-vivado_
    2020.2-build_8_20211006090249.zipMohsen Chamanbaz
    • 2020.2 release
    • Audio hardware platform is changed.
      (Audio formatter, I2S transmitter and I2S receiver)
    • 0001-QSPI-s25fl127_8-2020_2.patch for restart
    2020-04-082019.2TE0726-zynqberrydemo1-vivado_2019.2-build_10_20200408190958.zip
    TE0726-zynqberrydemo1_noprebuilt-vivado_2019.2-build_
    10_20200408191010.zipMohsen Chamanbaz/John Hartfiel
    • changes FSBL flash
    2020-03-252019.2TE0726
    • update with Vivado 2019.2
    2019-12-192018.3-zynqberrydemo1_noprebuilt-vivado_2019.2-build_8_20200325081403.zip
    TE0726-zynqberrydemo1-vivado_2019.2-build_8_20200325081354.zipMohsen Chamanbaz/John Hartfiel
    • script update
    2020-02-202019.2TE0726-zynqberrydemo1_noprebuilt-vivado_2019.2-build_5_20200214101503.zip
    TE0726-zynqberrydemo1-vivado_2019.2-build_5_20200214101453.zip
    Mohsen Chamanbaz
    • update with Vivado 2019.2
    2019-12-192018.3

    te0726-zynqberrydemo1_noprebuilt-vivado_2018.3-build_10_20200113150027.zip
    te0726-zynqberrydemo1-vivado_2018.3-build_10_20200113150016.zip

    Mohsen Chamanbaz
    • update with Vivado 2018.3
    2018-11-202018.2te0726-zynqberrydemo1_noprebuilt-vivado_2018.2-build_03_20181120163954.zip
    te0726-zynqberrydemo1-vivado_2018.2-build_03_20181120163939.zip
    Oleksandr Kiyenko
    • update petalinux with audio config
    2018-11-19

    2018.2

    te0726-zynqberrydemo1_noprebuilt-vivado_2018.2-build_03_20181119110154.zip
    te0726-zynqberrydemo1-vivado_2018.2-build_03_20181119110059.zip
    Oleksandr Kiyenko
    • initial release


    Release Notes and Know Issues

    Page properties
    hiddentrue
    idComments
    Notes :
    • add known Design issues and general notes for the current revision
    • do not delete known issue, add fixed version time stamp if  issue fixed


    Scroll Title
    anchorTable_KI
    title-alignmentcenter
    titleKnown Issues

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    IssuesDescriptionWorkaroundTo be fixed version
    Flash Programming failed with 2020.2Depending on Flash content Flash programming failed with provided fsbl_flash (Xilinx AR# 76051 )2020.x version
    • Option1:
      • In case Flash is empty, use fsbl_flash on programming GUI 
      • In case Flash is programmed use normal fsbl on programming GUI
    • Option2: use in both case fsbl_flash on programming GUI and Vivado LabTools 2018.3
    ---
    FSBL/ Kernel
    Vivado 2020.2
    Petalinux does not restart after first bootinguse 0001-QSPI-s25fl127_8-2020_2.patch from
    test_board\os\petalinux\project-spec\meta-user\recipes-kernel\linux\linux-xlnx\
    ---
    Error message during boot "memory reservation failed"During boot message "ERROR: reserving fdt memory region failed (addr=1fc00000 size=400000)" occures.

    No workaround
    Camera is working, picture can be captured with fbgrab function

    ---


    Requirements

    Software

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design


    Scroll Title
    anchorTable_SW
    title-alignmentcenter
    titleSoftware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    SoftwareVersionNote
    Vitis20202022.2needed,Vivado is included into Vitis installation
    PetaLinux20202022.2needed


    Hardware

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software hardware which was used to generate the design
    • mark the module and carrier board, which was used tested with an *

    Basic description of TE Board Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on <design name>/board_files/*_board_files.csv

    Design supports following modules:

    Scroll Title
    anchorTable_HWM
    title-alignmentcenter
    titleHardware Modules

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes
    te0726-0101_64MBREV0164MB LPDDR216MBNANAnot included, user modifications are needed
    te0726-03R r_128MBREV03,REV02128MB DDR3L16MBNANAnot included, user modifications are needed
    te0726-03M* m_512MBREV03,REV02512MB DDR3L16MBNANA
    te0726-03-07S-1C7s_512MBREV03,REV02512MB DDR3L16MBNANA
    TE0726-03RJr_128MBREV03,REV02128MB16MBNANAnot included, user modifications are needed
    TE0726-03-41C74-Qr_128MBREV03,REV02128MB16MBNANAnot included, user modifications are needed
    TE0726-03-41C74-Rr_128MBREV03,REV02128MB16MBNANAnot included, user modifications are needed
    TE0726-03IMmi_512MBREV03,REV02512MB16MBNANA
    TE0726-03-11C64-A7s_512MBREV03,REV02512MB16MBNANA
    TE0726-03-41I64-Ami_512MBREV03,REV02512MB16MBNANA
    TE0726-03-41C64-A m_512MBREV03,REV02512MB16MBNANA

    TE0726-03-S001   

    m_512MBREV03512MB16MBNANA
    TE0726-03-S002m_512MBREV03512MB16MBNANA
    TE0726-04-41C94-A*m_512MBREV04512MB16MBNANA

    *used as reference

    Design supports following carriers:

    Scroll Title
    anchorTable_HWC
    title-alignmentcenter
    titleHardware Carrier

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Carrier ModelNotes
    ---


    Additional HW Requirements:

    Scroll Title
    anchorTable_AHW
    title-alignmentcenter
    titleAdditional Hardware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Additional HardwareNotes
    USB PowerUse USB2.0 or higher for power supply via USB
    Micro USB CableConnect to USB2 or better USB3 Hub for proper power supply over USB
    Raspberry Pi Camera Rev 1.3 or Camera Rev 2.1Betaimplemenetation Beta-implementation of REV2.1(not complette stable)
    MonitorDELL Model Number: U2412Mc
    HDMI Cable--


    Content

    Page properties
    hiddentrue
    idComments

    Notes :

    • the content of the zip file

    For general structure and of the reference design, see Project Delivery - AMD devices

    Design Sources

    Scroll Title
    anchorTable_DS
    title-alignmentcenter
    titleDesign sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    Vivado
    <design name>/
    <project folder>\block_design
    <design name>/
    <project folder>\constraints
    <design name>/
    <project folder>\ip_lib
    <project folder>\board_files
    Vivado Project will be generated by TE Scripts
    Vitis
    <design name>/
    <project folder>\sw_libAdditional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation
    PetaLinux
    <design name>/os/
    <project folder>\os\petalinuxPetaLinux template with current configuration

    Additional Sources

    Scroll Title
    anchorTable_ADS
    title-alignmentcenter
    titleAdditional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    init.sh<design name>/misc/init_scriptAdditional Initialization Script for Linux


    Prebuilt

    Page properties
    hiddentrue
    idComments

    Notes :

    • prebuilt files
    • Template Table:

      • Scroll Title
        anchorTable_PF
        title-alignmentcenter
        titlePrebuilt files

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        File

        File-Extension

        Description

        BIF-File*.bifFile with description to generate Bin-File
        BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
        BIT-File*.bitFPGA (PL Part) Configuration File
        Boot Source*.scr

        Distro Boot file

        DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

        Debian SD-Image

        *.img

        Debian Image for SD-Card

        Diverse Reports---Report files in different formats
        Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
        LabTools Project-File*.lprVivado Labtools Project File

        MCS-File

        *.mcs

        Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

        MMI-File

        *.mmi

        File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

        OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
        Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

        SREC-File

        *.srec

        Converted Software Application for MicroBlaze Processor Systems





    Scroll Title
    anchorTable_PF
    title-alignmentcenter
    titlePrebuilt files (only on ZIP with prebult content)

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File

    File-Extension

    Description

    BIF-File*.bifFile with description to generate Bin-File
    BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
    BIT-File*.bitFPGA (PL Part) Configuration File
    DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface
    Diverse Reports---Report files in different formats
    Hardware-Platform-Specification-Files*.xsaExported Vivado Hardware Specification for Vitis and PetaLinux
    LabTools Project-File*.lprVivado Labtools Project File
    OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
    Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems


    Download

    Reference Design is only usable with the specified Vivado/SDKVitis/PetaLinux /SDx version. Do never use different Versions of Xilinx Software for the same Project.

    Page properties
    hiddentrue
    idComments

    Reference Design is available on:

    Design Flow

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Notes :
    • Basic Design Steps

    • Add/ Remove project specific description


    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first lunch.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also be executed by Xilinx Vivado/SDK Vitis GUI.   For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    Note

    Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

      Code Block
      languagebash
      themeMidnight
      title_create_win_setup.cmd/_create_linux_setup.sh
      ------------------------Set design paths----------------------------
      -- Run Design with: _create_win_setup
      -- Use Design Path: <absolute project path>
      --------------------------------------------------------------------
      -------------------------TE Reference Design---------------------------
      --------------------------------------------------------------------
      -- (0)  Module selection guide, project creation...prebuilt export...
      -- (1)  Create minimum setup of CMD-Files and exit Batch
      -- (2)  Create maximum setup of CMD-Files and exit Batch
      -- (3)  (internal only) Dev
      -- (4)  (internal only) Prod
      -- (c)  Go to CMD-File Generation (Manual setup)
      -- (d)  Go to Documentation (Web Documentation)
      -- (g)  Install Board Files from Xilinx Board Store (beta)
      -- (a)  Start design with unsupported Vivado Version (beta)
      -- (x)  Exit Batch (nothing is done!)
      ----
      Select (ex.:'0' for module selection guide):


    2. Press 0 and enter to start "Module Selection Guide"
    3. (optional Win OS) Generate Virtual Drive or use short directory  for the reference design (for example x:\<design name>)
    4. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
      • (optional for manual changes) Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

        Note

        Note: Select correct one, see also Vivado Board Part Flow


    5. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
      TE::hw_build_design -export_prebuilt


      Info

      Using Vivado GUI is the same, except file export to prebuilt folder.


    6. Create and configure your PetaLinux project with exported .xsa-file, see PetaLinux KICKstart
      • use TE Template from "<project folder>\os\petalinux"
      • use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . Note: HW Export from Vivado GUI creates another path as default workspace.
      • The build images are located in the "<plnx-proj-root>/images/linux" directory
      • For 128MB and 64MB only:Netboot Offset must be reduced manually, see Config
    7. Configure the boot.scr file as needed, see Distro Boot with Boot.scr
    8. Copy PetaLinux build image files to prebuilt folder
      1. Copy u-boot.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        Info

        "<project folder>\prebuilt\os\petalinux\<DDR size>" or "<project folder>\prebuilt\os\petalinux\<short name>"


        Page properties
        hiddentrue
        idComments

        This step depends on Xilinx Device/Hardware

        for Zynq-7000 series

        • copy u-boot.elf, system.dtb, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for ZynqMP

        • copy u-boot.elf, system.dtb, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for

      ...
      1. Microblaze

        • ...


      2. Generate Programming Files with Vitis

        Code Block
        languagepy
        themeMidnight
        titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
        TE::sw_run_vitis -all
        TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


        Note

        TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis


    9. Generate Programming Files with Petalinux (alternative), see PetaLinux KICKstart

    Launch

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure

    Programming

    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Xilinx documentation for programming and debugging: Vivado/SDKVitis/SDSoC-Xilinx Software Programming and Debugging

    Get prebuilt boot binaries

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
    2. Press 0 and enter to start "Module Selection Guide"
      1. Select assembly version
      2. Validate selection
      3. Select Create and open delivery binary folder

        Info

        Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated


    QSPI-Boot mode

           Option for Boot.bin on QSPI Flash and image.ub and boot.scr on SD or USB.

    1. Connect JTAG and power on the carrier with module
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"Type on Vivado TCL Console: TE::pr_program_flash -swapp u-boot
      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script programs BOOT.bin on QSPI flash)
      TE::pr_program_flash -swapp u-boot
      TE::pr_program_flash -swapp hello_te0726 (optional)
      Note

      To program with Vitis/Vivado GUI, use special FSBL (fsbl_flash) on setup


    3. Copy imageCopy image.ub and boot.scr on SD or USB
      • use files from (<project foler>/_binaries_<Articel Name>)/boot_linux from generated binary folder,see: Get prebuilt boot binaries
      • or use prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
      • Important: Do not copy Boot.bin on SD(is not used see SD note), only other files.
    4. Copy init.sh on SD-Card
      • location: <design_name>/misc/sd/
    5. Insert SD-Card

    SD

    Xilinx Zynq devices in CLG225 package do not support SD Card boot directly from ROM bootloader. Use QSPI for primary boot and SD for secondary boot (u-boot)

    JTAG

    Not used on this Example.

    Usage

    1. Prepare HW like described in section Programming
    2. Connect UART USB (most cases same as JTAG)
    3. Insert SD Card with image.ub

      Tip

      Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.
      The boot options described above describe the common boot processes for this hardware; other boot options are possible.
      For more information see Distro Boot with Boot.scr


    4. Power On PCB

      Expand
      titleboot process

      1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init PS, programs PL using the bitstream and loads U-boot from SD into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      Page properties
      hiddentrue
      idComments

      This step depends on Xilinx Device/Hardware

      for Zynq-7000 series

      1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for ZynqMP???

      1. ZynqMP Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for Microblaze with Linux

      1. FPGA Loads Bitfile from Flash,

      2. MCS Firmware configure SI5338 and starts Microblaze, (only if mcs is available)

      3. SREC Bootloader from Bitfile Firmware loads U-Boot into DDR (This takes a while),

      4. U-boot loads Linux from QSPI Flash into DDR


      for native FPGA

      ...


    Linux

    1. Open Serial Console (e.g. putty)
      • Speed: 115200
      • Select COM Port

        Info

        Win OS, see device manager, Linux OS see dmesg |grep tty (UART is *USB1)


    2. Linux Console:

      Code Block
      languagebash
      themeMidnight
      Auto login is activated. Therefore it is not necessary to give username and password.
      Info

      Note: Wait until Linux boot finished

      petalinux login: root Password: root



    3. You can use a Linux shell now.

      Code Block
      languagebash
      themeMidnight
      i2cdetect -y -r 5	(check I2C 1 Bus, Bus 0...5 possible)
      udhcpc				(ETH0 check)
      lsusb				(USB check)


    4. Camera stream will be enabled via init.sh script on SD
    5. Take image from camera (must be enabled with init.sh scripts):
      1. write image to webserver: fbgrab -d /dev/fb1 fb0 /srv/www/camera.png
      2. Display image on host PC: http://<ZynqBerry IP>/camera.png

    System Design - Vivado

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constraints... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    titleBlock Design

    Image RemovedImage Added

    PS Interfaces

    Page properties
    hiddentrue
    idComments

    Note:

    • optional for Zynq / ZynqMP only

    • add basic PS configuration

    Activated interfaces:

    Scroll Title
    anchorTable_PSI
    title-alignmentcenter
    titlePS Interfaces

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    EMIO
    TypeNote
    DDR---
    QSPIMIO
    USB0MIO, ETH over USB
    SD1MIO
    UART1MIOI2C0
    I2C1MIO
    GPIOMIO / EMIO
    USB RSTMIO
    TTC0..1MIO
    WDTMIOEMIO
    AXI HP0..1


    Constraints

    Basic module constraints

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    #
    # Common BITGEN related settings for TE0726
    #
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property CFGBVS VCCO [current_design]
    
    set_property BITSTREAM.CONFIG.UNUSEDPIN PULLUP [current_design]

    Design specific constraint

    Code Block
    languageruby
    title_i_common.xdc
    #
    #
    #
    set_property BITSTREAM.CONFIG.UNUSEDPIN PULLUP [current_design]


    Code Block
    languageruby
    title_i_te0726.xdc
    #set_property IOSTANDARD LVCMOS33 [get_ports spdif_tx_o]
    #set_property PACKAGE_PIN K15 [get_ports spdif_tx_o]
    
    set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_1_tri_io[*]}]
    # GPIO Pins
    # GPIO2
    set_property PACKAGE_PIN K15 [get_ports {GPIO_1_tri_io[0]}]
    # GPIO3
    set_property PACKAGE_PIN J14 [get_ports {GPIO_1_tri_io[1]}]
    # GPIO4
    set_property PACKAGE_PIN H12 [get_ports {GPIO_1_tri_io[2]}]
    # GPIO5
    set_property PACKAGE_PIN N14 [get_ports {GPIO_1_tri_io[3]}]
    # GPIO6
    set_property PACKAGE_PIN R15 [get_ports {GPIO_1_tri_io[4]}]
    # GPIO7
    set_property PACKAGE_PIN L14 [get_ports {GPIO_1_tri_io[5]}]
    # GPIO8
    set_property PACKAGE_PIN L15 [get_ports {GPIO_1_tri_io[6]}]
    # GPIO9
    set_property PACKAGE_PIN J13 [get_ports {GPIO_1_tri_io[7]}]
    # GPIO10
    set_property PACKAGE_PIN H14 [get_ports {GPIO_1_tri_io[8]}]
    # GPIO11
    set_property PACKAGE_PIN J15 [get_ports {GPIO_1_tri_io[9]}]
    # GPIO12
    set_property PACKAGE_PIN M15 [get_ports {GPIO_1_tri_io[10]}]
    # GPIO13
    set_property PACKAGE_PIN R13 [get_ports {GPIO_1_tri_io[11]}]
    # GPIO16
    set_property PACKAGE_PIN L13 [get_ports {GPIO_1_tri_io[12]}]
    # GPIO17
    set_property PACKAGE_PIN G11 [get_ports {GPIO_1_tri_io[13]}]
    # GPIO18
    set_property PACKAGE_PIN H11 [get_ports {GPIO_1_tri_io[14]}]
    # GPIO19
    set_property PACKAGE_PIN R12 [get_ports {GPIO_1_tri_io[15]}]
    # GPIO20
    set_property PACKAGE_PIN M14 [get_ports {GPIO_1_tri_io[16]}]
    # GPIO21
    set_property PACKAGE_PIN P15 [get_ports {GPIO_1_tri_io[17]}]
    # GPIO22
    set_property PACKAGE_PIN H13 [get_ports {GPIO_1_tri_io[18]}]
    # GPIO23
    set_property PACKAGE_PIN J11 [get_ports {GPIO_1_tri_io[19]}]
    # GPIO24
    set_property PACKAGE_PIN K11 [get_ports {GPIO_1_tri_io[20]}]
    # GPIO25
    set_property PACKAGE_PIN K13 [get_ports {GPIO_1_tri_io[21]}]
    # GPIO26
    set_property PACKAGE_PIN L12 [get_ports {GPIO_1_tri_io[22]}]
    # GPIO27
    set_property PACKAGE_PIN G12 [get_ports {GPIO_1_tri_io[23]}]
    
    ## DSI_D0_N
    #set_property PACKAGE_PIN F13 [get_ports {GPIO_1_tri_io[24]}]
    ## DSI_D0_P
    #set_property PACKAGE_PIN F14 [get_ports {GPIO_1_tri_io[25]}]
    ## DSI_D1_N
    #set_property PACKAGE_PIN F12 [get_ports {GPIO_1_tri_io[26]}]
    ## DSI_D1_P
    #set_property PACKAGE_PIN E13 [get_ports {GPIO_1_tri_io[27]}]
    ## DSI_C_N
    #set_property PACKAGE_PIN E11 [get_ports {GPIO_1_tri_io[28]}]
    ## DSI_C_P
    #set_property PACKAGE_PIN E12 [get_ports {GPIO_1_tri_io[29]}]
    
    ## CSI_D0_N
    #set_property PACKAGE_PIN M11 [get_ports {GPIO_1_tri_io[30]}]
    ## CSI_D0_P
    #set_property PACKAGE_PIN M10 [get_ports {GPIO_1_tri_io[31]}]
    ## CSI_D1_N
    #set_property PACKAGE_PIN P14 [get_ports {GPIO_1_tri_io[32]}]
    ## CSI_D2_P
    #set_property PACKAGE_PIN P13 [get_ports {GPIO_1_tri_io[33]}]
    ## CSI_C_N
    #set_property PACKAGE_PIN N12 [get_ports {GPIO_1_tri_io[34]}]
    ## CSI_C_P
    #set_property PACKAGE_PIN N11 [get_ports {GPIO_1_tri_io[35]}]
    ## PWM_R
    ##set_property PACKAGE_PIN N8 [get_ports {GPIO_1_tri_io[36]}]
    ## PWM_L
    ##set_property PACKAGE_PIN N7 [get_ports {GPIO_1_tri_io[37]}]
    
    # PWM_R
    set_property PACKAGE_PIN N8 [get_ports PWM_R]
    # PWM_L
    set_property PACKAGE_PIN N7 [get_ports PWM_L]
    set_property IOSTANDARD LVCMOS33 [get_ports PWM_*]
    
    
    
    
    
    


    Code Block
    languageruby
    title_i_hdmi.xdc
    set_property IOSTANDARD TMDS_33 [get_ports hdmi_clk_p]
    set_property PACKAGE_PIN R7 [get_ports hdmi_clk_p]
    
    set_property IOSTANDARD TMDS_33 [get_ports {hdmi_data_p[*]}]
    set_property PACKAGE_PIN P8 [get_ports {hdmi_data_p[0]}]
    set_property PACKAGE_PIN P10 [get_ports {hdmi_data_p[1]}]
    set_property PACKAGE_PIN P11 [get_ports {hdmi_data_p[2]}]
    
    
    
    


    Code Block
    languageruby
    title_i_csi.xdc
    set_property PACKAGE_PIN N11 [get_ports csi_c_clk_p]
    set_property IOSTANDARD LVDS_25 [get_ports csi_c_clk_p]
    set_property PACKAGE_PIN M9 [get_ports {csi_d_lp_n[0]}]
    set_property IOSTANDARD HSUL_12 [get_ports {csi_d_lp_n[0]}]
    set_property PACKAGE_PIN N9 [get_ports {csi_d_lp_p[0]}]
    set_property IOSTANDARD HSUL_12 [get_ports {csi_d_lp_p[0]}]
    set_property PACKAGE_PIN M10 [get_ports {csi_d_p[0]}]
    set_property IOSTANDARD LVDS_25 [get_ports {csi_d_p[0]}]
    set_property PACKAGE_PIN P13 [get_ports {csi_d_p[1]}]
    set_property IOSTANDARD LVDS_25 [get_ports {csi_d_p[1]}]
    set_property INTERNAL_VREF 0.6 [get_iobanks 34]
    set_property PULLDOWN true [get_ports {csi_d_lp_p[0]}]
    set_property PULLDOWN true [get_ports {csi_d_lp_n[0]}]
    # RPI Camera 1
    create_clock -period 6.250 -name csi_clk -add [get_ports csi_c_clk_p]
    # RPI Camera 2.1
    #create_clock -period 1.875 -name csi_clk -add [get_ports csi_c_clk_p]
    
    
    
    


    Code Block
    languageruby
    title_i_timing.xdc
    set_property ASYNC_REG true [get_cells {zsys_i/audio/axi_i2s_adi_0/U0/ctrl/tx_sync/out_data_reg[4]}]
    set_property ASYNC_REG true [get_cells {zsys_i/audio/axi_i2s_adi_0/U0/ctrl/SDATA_O_reg[0]}]
    set_false_path -from [get_clocks clk_fpga_0] -to [get_clocks clk_fpga_3]
    set_false_path -from [get_clocks clk_fpga_3] -to [get_clocks clk_fpga_0]
    
    set_false_path -from [get_pins {zsys_i/axi_reg32_0/U0/axi_reg32_v1_0_S_AXI_inst/slv_reg16_reg[1]/C}] -to [get_pins zsys_i/video_in/axis_raw_demosaic_0/U0/colors_mode_i_reg/D]
    set_false_path -from [get_pins zsys_i/video_in/csi_to_axis_0/U0/lane_align_inst/err_req_reg/C] -to [get_pins zsys_i/video_in/csi2_d_phy_rx_0/U0/clock_upd_req_reg/D]
    
    set_false_path -from [get_pins {zsys_i/video_in/axi_vdma_0/U0/I_PRMRY_DATAMOVER/GEN_S2MM_FULL.I_S2MM_FULL_WRAPPER/GEN_INCLUDE_REALIGNER.I_S2MM_REALIGNER/GEN_INCLUDE_SCATTER.I_S2MM_SCATTER/sig_max_first_increment_reg[2]/C}] -to [get_pins zsys_i/video_in/axi_vdma_0/U0/I_PRMRY_DATAMOVER/GEN_S2MM_FULL.I_S2MM_FULL_WRAPPER/GEN_INCLUDE_REALIGNER.I_S2MM_REALIGNER/GEN_INCLUDE_SCATTER.I_S2MM_SCATTER/sig_btt_eq_0_reg/D]
    set_false_path -from [get_pins {zsys_i/video_in/axi_vdma_0/U0/I_PRMRY_DATAMOVER/GEN_S2MM_FULL.I_S2MM_FULL_WRAPPER/GEN_INCLUDE_REALIGNER.I_S2MM_REALIGNER/GEN_INCLUDE_SCATTER.I_S2MM_SCATTER/sig_btt_cntr_dup_reg[1]/C}] -to [get_pins zsys_i/video_in/axi_vdma_0/U0/I_PRMRY_DATAMOVER/GEN_S2MM_FULL.I_S2MM_FULL_WRAPPER/GEN_INCLUDE_REALIGNER.I_S2MM_REALIGNER/GEN_INCLUDE_SCATTER.I_S2MM_SCATTER/sig_btt_eq_0_reg/D]
    
    

    Software Design - Vitis

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For SDK project creation, follow instructions from:

    Vitis

    Application

    Page properties
    hiddentrue
    idComments

    ----------------------------------------------------------

    FPGA Example

    scu

    MCS Firmware to configure SI5338 and Reset System.

    ----------------------------------------------------------

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 20202023.2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 20202023.2 xilisf_v5_11

    • Changed default Flash type to 5.

    ----------------------------------------------------------

    Zynq Example:

    ----------------------------------------------------------

    fsbl

    TE modified 20202023.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY

    fsbl_flash

    TE modified 2020.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation


    ----------------------------------------------------------

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified 20202023.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_

    fsbl_flash

    TE modified 2020.2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------General Example:

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.


    SDK Template location: ./sw_lib/sw_apps/

    zynq_fsbl

    TE modified 20202022.2 FSBL

    General:

    • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • enable VTC and VDMA cores for camera access
    zynq

    hello_

    fsbl_flash

    te0726

    Hello TE0726 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.

    TE modified 2020.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    hello_te0726

    Hello TE0726 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Software Design -  PetaLinux

    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"

    For PetaLinux installation and  project creation, follow instructions from:

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    For 512MB variant:

    • No change

    For 64MB variant only:

    • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x2000000

    For 128MB variant only:

    • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x4000000

    U-Boot

    Start with petalinux-config -c u-boot
    Changes:

    • CONFIG_ENV_IS_NOWHERE=y

    • # CONFIG_ENV_IS_IN_SPI_FLASH is not set

    Device Tree

    Code Block
    languagejs
    /include/ "system-conf.dtsi"
    / {
    };
       
       
    / {
     
        #address-cells = <1>;
        #size-cells = <1>;
        
        reserved-memory {
            #address-cells = <1>;
            #size-cells = <1>;
            ranges;
            hdmi_fb_reserved_region@1FC00000 {
                //compatible = "removed-dma-pool";
                compatible = "shared-dma-pool";
                no-map;
                // 512M (M modules)
                reg = <0x1FC00000 0x400000>;
                // 128M (R modules)
                //reg = <0x7C00000 0x400000>;
            };
             
            // Second framebuffer for direct data streaming from camera to monitor is not needed.
             
            //camera_fb_reserved_region@1FC00000 {
            //    //compatible = "removed-dma-pool";
            //    compatible = "shared-dma-pool";
            //    no-map;
            //    // 512M (M modules)
            //    reg = <0x1FC00000 0x400000>;
            //    // 128M (R modules)
            //    //reg = <0x7800000 0x400000>;
            //};
                     
        };
       
        hdmi_fb: framebuffer@1FC00000 {           // HDMI out
            compatible = "simple-framebuffer";
            // 512M (M modules)
            reg = <0x1FC00000 (1280 * 720 * 4)>;    // 720p
            // 128M (R modules)
            //reg = <0x7C00000 (1280 * 720 * 4)>;   // 720p
            width = <1280>;                         // 720p
            height = <720>;                         // 720p
            stride = <(1280 * 4)>;                  // 720p
            format = "a8b8g8r8";
            status = "okay";
        };
         
       
        //camera_fb: framebuffer@0x1FC00000 {         // CAMERA in
        //    compatible = "simple-framebuffer";
        //    // 512M (M modules)
        //    reg = <0x1FC00000 (1280 * 720 * 4)>;    // 720p
        //    // 128M (R modules)
        //    //reg = <0x7800000 (1280 * 720 * 4)>;   // 720p
        //    width = <1280>;                         // 720p
        //    height = <720>;                         // 720p
        //    stride = <(1280 * 4)>;                  // 720p
        //    format = "a8b8g8r8";
        //};
       
        vcc_3V3: fixedregulator@0 {
            compatible = "regulator-fixed";
            regulator-name = "vccaux-supply";
            regulator-min-microvolt = <3300000>;
            regulator-max-microvolt = <3300000>;
            regulator-always-on;
        };
    };
       
    &qspi {
        #address-cells = <1>;
        #size-cells = <0>;
        status = "okay";
        flash0: flash@0 {
            compatible = "jedec,spi-nor";
            reg = <0x0>;
            #address-cells = <1>;
            #size-cells = <1>;
            
            spi-rx-bus-width = <4>;
            spi-tx-bus-width = <4>;
    
            spi-max-frequency = <50000000>;
            partition@0x00000000 {
                label = "boot";
                reg = <0x00000000 0x00500000>;
            };
            partition@0x00500000 {
                label = "bootenv";
                reg = <0x00500000 0x00020000>;
            };
            partition@0x00520000 {
                label = "kernel";
                reg = <0x00520000 0x00a80000>;
            };
            partition@0x00fa0000 {
                label = "spare";
                reg = <0x00fa0000 0x00000000>;
            };
        };
    };
       
    /*
    * We need to disable Linux VDMA driver as VDMA
    * already configured in FSBL
    */
    &video_in_axi_vdma_0 {
       status = "disabled";
    };
       
    &video_out_axi_vdma_0 {
       status = "disabled";
    };
       
    &video_out_v_tc_0 {
        //xilinx-vtc: probe of 43c20000.v_tc failed with error -2
        status = "disabled";
    };
       
    &gpio0 {
        interrupt-controller;
        #interrupt-cells = <2>;
    };
       
    &i2c1 {
        #address-cells = <1>;
        #size-cells = <0>;
       
        i2cmux0: i2cmux@70  {
            compatible = "nxp,pca9544";
            #address-cells = <1>;
            #size-cells = <0>;
            reg = <0x70>;
       
       
            i2c1@0 {
                #address-cells = <1>;
                #size-cells = <0>;
                reg = <0>;
       
                id_eeprom@50 {
                    compatible = "atmel,24c32";
                    reg = <0x50>;
                };
       
            };
            i2c1@1 {    // Display Interface Connector
                #address-cells = <1>;
                #size-cells = <0>;
                reg = <1>;
            };
            i2c1@2 {    // HDMI Interface Connector
                #address-cells = <1>;
                #size-cells = <0>;
                reg = <2>;
            };
            i2c1@3 {    // Camera Interface Connector
                #address-cells = <1>;
                #size-cells = <0>;
                reg = <3>;
            };
        };
       
    };
       
    /{
        usb_phy0: usb_phy@0 {
            compatible = "ulpi-phy";
            #phy-cells = <0>;
            reg = <0xe0002000 0x1000>;
            view-port = <0x0170>;
            drv-vbus;
        };
    };
       
    &usb0 {
        usb-phy = <&usb_phy0>;
    } ;
       
    /*
    * Sound configuration
    */
       
    /{ 
     
    
        /* Use S/PDIF transmitter as codec required by simple-audio-card */
        playback_codec: playback-codec {
            compatible = "linux,spdif-dit";
            #sound-dai-cells = <0>;
        };
     
        /* Use S/PDIF receiver as codec required by simple-audio-card */
        record_codec: record-codec {
            compatible = "linux,spdif-dir";
            #sound-dai-cells = <0>;
        };
        sound {
            #address-cells = <1>;
            #size-cells = <0>;
     
            simple-audio-card,widgets =
                "Microphone", "In Jack",
                "Line", "Line In Jack",
                "Line", "Line Out Jack",
                "Headphone", "Out Jack";
       
            simple-audio-card,routing =
                "Out Jack", "te-out",
                "te-in", "In Jack";
     
            i2s_receiver_0:i2s_receiver@43C10000 {
               compatible = "xlnx,i2s-receiver-1.0";
               clock-names = "s_axi_ctrl_aclk", "aud_mclk", "m_axis_aud_aclk";
               aud_mclk = <4081632>;
               reg = <0x0 0x43C10000 0x0 0x10000>;
               //xlnx,dwidth = <0x18>;                  //I2S Data Width 24 bit     
               xlnx,dwidth = <0x10>;                    //I2S Data Width 16 bit 
               xlnx,num-channels = <2>;
               xlnx,snd-pcm = <&audio_formatter_0>;
            };
             
            i2s_transmitter_0:i2s_transmitter@43C20000 {
                 compatible = "xlnx,i2s-transmitter-1.0";
                 clock-names = "s_axi_ctrl_aclk", "aud_mclk", "s_axis_aud_aclk";
                 aud_mclk = <4081632>;
                 reg = <0x0 0x43C20000 0x0 0x10000>;
                 //xlnx,dwidth = <0x18>;                //I2S Data Width 24 bit 
                 xlnx,dwidth = <0x10>;                  //I2S Data Width 16 bit 
                 xlnx,num-channels = <2>;
                 xlnx,snd-pcm = <&audio_formatter_0>;
            };
             
            audio_formatter_0:audio_formatter@43C00000 {
                compatible = "xlnx,audio-formatter-1.0";
                interrupt-names = "irq_mm2s", "irq_s2mm";
                reg = <0x0 0x43C00000 0x0 0x1000>;
                xlnx,tx = <&i2s_transmitter_0>;
                xlnx,rx = <&i2s_receiver_0>;
                clock-names = "s_axi_lite_aclk", "m_axis_mm2s_aclk", "aud_mclk", "s_axis_s2mm_aclk";
                aud_mclk = <12307691>;
            }; 
            playback_link: simple-audio-card,dai-link@0 {
                reg = <0>;
                format = "i2s";
     
                bitclock-master = <&p_codec_dai>;
                frame-master = <&p_codec_dai>;
     
                p_cpu_dai: cpu {
                    sound-dai = <&i2s_transmitter_0>;
                };
     
                p_platform_dai: plat {
                    sound-dai = <&audio_formatter_0>;
                };
     
                p_codec_dai: codec {
                    sound-dai = <&playback_codec>;
                };
            };  
            record_link: simple-audio-card,dai-link@1 {
                reg = <1>;
                format = "i2s";
     
                bitclock-master = <&r_codec_dai>;
                frame-master = <&r_codec_dai>;
     
                r_cpu_dai: cpu {
                    sound-dai = <&i2s_receiver_0>;
                };
     
                r_platform_dai: plat {
                    sound-dai = <&audio_formatter_0>;
                };
     
                r_codec_dai: codec {
                    sound-dai = <&record_codec>;
                };
            };        
        };    
    };
     
     
       
    /*
    * We need to disable Linux XADC driver to use XADC for audio recording
    */
    &adc {
        status = "disabled";
    };
     
         
            

    Kernel

    Start with petalinux-config -c kernel

    Changes:

    • CONFIG_MII=y

    • CONFIG_XILINX_GMII2RGMII=y

    • CONFIG_USB_USBNET=y

    • CONFIG_USB_NET_AX8817X=y

    • CONFIG_USB_NET_AX88179_178A=y

    • CONFIG_USB_NET_CDCETHER=y

    • # CONFIG_USB_NET_CDC_EEM is not set

    • CONFIG_USB_NET_CDC_NCM=y

    • # CONFIG_USB_NET_HUAWEI_CDC_NCM is not set

    • # CONFIG_USB_NET_CDC_MBIM is not set

    • # CONFIG_USB_NET_DM9601 is not set

    • # CONFIG_USB_NET_SR9700 is not set

    • # CONFIG_USB_NET_SR9800 is not set

    • # CONFIG_USB_NET_SMSC75XX is not set

    • CONFIG_USB_NET_SMSC95XX=y

    • # CONFIG_USB_NET_GL620A is not set

    • CONFIG_USB_NET_NET1080=y

    • # CONFIG_USB_NET_PLUSB is not set

    • # CONFIG_USB_NET_MCS7830 is not set

    • # CONFIG_USB_NET_RNDIS_HOST is not set

    • CONFIG_USB_NET_CDC_SUBSET_ENABLE=y

    • CONFIG_USB_NET_CDC_SUBSET=y

    • # CONFIG_USB_ALI_M5632 is not set

    • # CONFIG_USB_AN2720 is not set

    • CONFIG_USB_BELKIN=y

    • CONFIG_USB_ARMLINUX=y

    • # CONFIG_USB_EPSON2888 is not set

    • # CONFIG_USB_KC2190 is not set

    • CONFIG_USB_NET_ZAURUS=y

    • # CONFIG_USB_NET_CX82310_ETH is not set

    • # CONFIG_USB_NET_KALMIA is not set

    • # CONFIG_USB_NET_QMI_WWAN is not set

    • # CONFIG_USB_NET_INT51X1 is not set

    • # CONFIG_USB_SIERRA_NET is not set

    • # CONFIG_USB_VL600 is not set

    • # CONFIG_USB_NET_CH9200 is not set

    • # CONFIG_USB_NET_AQC111 is not set

    • CONFIG_FB_SIMPLE=y

    • # CONFIG_FRAMEBUFFER_CONSOLE is not set

    • CONFIG_SND_SIMPLE_CARD_UTILS=y

    • CONFIG_SND_SIMPLE_CARD=y

    • CONFIG_USBIP_CORE=y

    • # CONFIG_USBIP_VHCI_HCD is not set

    • # CONFIG_USBIP_HOST is not set

    • # CONFIG_USBIP_VUDC is not set

    • # CONFIG_USBIP_DEBUG is not set

    • CONFIG_SND_PCM_ELD=y

    • CONFIG_SND_PCM_IEC958=y

    • CONFIG_SND_SOC_XILINX_I2S=y

    • CONFIG_SND_SOC_XILINX_PL_SND_CARD=y

    • CONFIG_SND_SOC_HDMI_CODEC=yn#

    • CONFIG_SND_SOC_XILINX_I2S is not setCONFIG_SND_PCM_ELD=y

    • CONFIG_SND_PCM_IEC958=yn

    • CONFIG_SND_SOC_XILINX_AUDIO_FORMATTER=y

    • CONFIG_SND_SOC_XILINX_I2SSPDIF=y





    Rootfs

    Start with petalinux-config -c rootfs

    Changes:

    • CONFIG_i2c-toolsCONFIG_SND_SOC_XILINX_SPDIF=y
    • CONFIG_SND_SOC_XILINX_PL_SND_CARD=y

      CONFIG_SND_SOC_HDMI_CODEC=y

    Change linux-xlnx_%.bbappend:

    Code Block
    languagejs
    FILESEXTRAPATHS_prepend := "${THISDIR}/${PN}:"
    
    SRC_URI += "file://devtool-fragment.cfg \
                file://0001-QSPI-s25fl127_8-2020_2.patch \
                "
    • Add 0001-QSPI-s25fl127_8-2020_2.patch to "<project folder>\project-spec\meta-user\recipes-kernel\linux\linux-xlnx\"

    Rootfs

    Start with petalinux-config -c rootfs

    Changes:

    • busybox-httpd=y (for web server app)
    • CONFIG_packagegroup-petalinux-utils = y (util-linux,cpufrequtils,bridge-utils,mtd-utils,usbutils,pciutils,canutils,i2c-tools,smartmontools,e2fsprogs)
    • CONFIG_
    • i2c-tools = y
    • alsa-plugins = y
    • CONFIG_alsa-lib-dev = y
    • CONFIG_libasound = y
    • CONFIG_alsa-conf-base = y
    • CONFIG_alsa-conf = y
    • CONFIG_alsa-utils = y
    • CONFIG_alsa-utils-aplay = y
    • CONFIG_busybox-httpd = y
    • CONFIG_auto-login=y

    •  CONFIG_ADD_EXTRA_USERS="root:root;petalinux:;"

    Applications

    startup

    Script App to load init.sh from SD Card if available.

    See: \os\petalinux\project-spec\meta-user\recipes-apps\startup\files

    rpicam

    Application used to enable and configure Raspbery Pi camera module

    See: \os\petalinux\project-spec\meta-user\recipes-apps\rpicam\files

    fbgrab

    Application used to take screenshot from camera

    See: \os\petalinux\project-spec\meta-user\recipes-apps\fgrab

    webfwu

    Webserver application accemble for Zynq access. Need busybox-httpd

    See: \os\petalinux\project-spec\meta-user\recipes-apps\webfwu\files

    Additional Software

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • Add description for other Software, for example SI CLK Builder ...
    • SI5338 and SI5345 also Link to:

    No additional software is needed.

    Appx. A: Change History and Legal Notices

    Document Change History

    To get content of older revision  got to "Change History"  of this page and select older document revision number.

    Page properties
    hiddentrue
    idComments
    • Note this list must be only updated, if the document is online on public doc!
    • It's semi automatically, so do following
      • Add new row below first

      • Copy "Page Information Macro(date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

      • Metadata is only used of compatibility of older exports


    Scroll Title
    anchorTable_dch
    titleDocument change history.

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths2*,*,3*,4*
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateDocument Revision

    Authors

    Description

    Page info
    modified-date
    modified-date
    dateFormatyyyy-MM-dd

    Page info
    infoTypeCurrent version
    dateFormatyyyy-MM-dd
    prefixv.
    typeFlat

    Page info
    infoTypeModified by
    dateFormatyyyy-MM-dd
    typeFlat

    • Working in process
    2022-02-23v.27John Hartfiel
    • Add missing design content
    2021-10-06v.26Mohsen Chamanbaz
    • 2020.2 release
    • Audio hardware platform is changed.
    • Audio formatter, i2s transmitter and i2s receiver are added.
    • 0001-QSPI-s25fl127_8-2020_2.patch for restart
    2020-06-23v.20John Hartfiel
    • Typo
    2020-04-08v.18John Hartfiel
    • Design update
    • Programming issue note
    2020-03-25v.17John Hartfiel
    • Script update
    2020-02-20v.16Mohsen Chamanbaz
    • Vivado 2019.2 release
    2020-01-13v.13Mohsen Chamanbaz
    • Vivado 2018.3 release
    2018-11-27v.12John Hartfiel
    • update documentation

    2018-11-20

    v.11John Hartfiel
    • update design files

    2018-11-19

    v.10John Hartfiel
    • 18.2 release
    --all

    Page info
    infoTypeModified users
    dateFormatyyyy-MM-dd
    typeFlat

    --


    Legal Notices

    Include Page
    IN:Legal Notices
    IN:Legal Notices



    Scroll Only


    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 0%;
    }</style>
    



    Scroll pdf ignore


    Custom_fix_page_content

    Table of contents

    Table of Contents
    outlinetrue