Page History
...
HTML |
---|
<!-- Template Revision 1.0 Basic Notes - export PDF to download, if vivado revision is changed! - Template is for different design and SDSoC and examples, remove unused or wrong description! --> |
Scroll Only (inline) |
---|
Online version of this manual and other related documents can be found at https://wiki.trenz-electronic.de/display/PD/Trenz+Electronic+Documentation |
Scroll pdf ignore | ||||
---|---|---|---|---|
Table of contents
|
...
HTML |
---|
<!-- Add Basic Key Features of the design (should be tested) --> |
Excerpt |
---|
|
Revision History
HTML |
---|
<!-- - Add changes from design - Export PDF to download, if vivado revision is changed! --> |
...
Constrains
Basic module constrains
Code Block | ||||
---|---|---|---|---|
| ||||
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property CFGBVS VCCO [current_design] set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design] |
...
Code Block | ||||||||
---|---|---|---|---|---|---|---|---|
| ||||||||
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design] |
...
Code Block | ||||||||
---|---|---|---|---|---|---|---|---|
| ||||||||
set_property PACKAGE_PIN K2 [get_ports {fclk[0]}] set_property IOSTANDARD LVCMOS18 [get_ports {fclk[0]}] set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets fclk_IBUF[0]] |
...
Date | Document Revision | Authors | Description | ||||||||||||||||||||||
---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|
|
|
|
| ||||||||||||||||||||||
2017-10-06 | v.18 | John Hartfiel |
| ||||||||||||||||||||||
2017-10-02 | v.14 | John Hartfiel |
| ||||||||||||||||||||||
2017-09-28 | v.13 | John Hartfiel | Release 2017.2 | ||||||||||||||||||||||
2017-09-11 | v.1 |
| Initial release | ||||||||||||||||||||||
All |
|
...
Overview
Content Tools