Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"


20220125102022-01-149
  • extended notes for microblaze boot process with linux
  • add u. to petalinux notes
  • add dtb to prebuilt content
  • replace 20.2 with 21.2
  • 20212882021-06-0172021-05-046 zynq_ from zynq_fsbl202104285
  • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
  • minor typos, formatting202104274
    DateVersionChangesAuthor
    2023-06-133.1.
    • removed u-boot.dtb from QSPI-Boot mode and SD-Boot mode. Is implemented in BOOT.bin
    • corrected Boot Source File in Boot Script-File
    ma16
    • Design flow:
      • added alternative programming files in Petalinux
    • added chapter FSBL Patch in Software Design - Petalinux
    ma
    2023-06-013.1.15
    • removed u-
    • boot.dtb
    jh
    • from Design flow
    ma
    2023-06-013.1.
    • added boot process for Microblaze
    • minor typos, formatting
    ma14
    • expandable lists for revision history and supported hardware
    wh
    2023-05-253.1.
    • carrier reference note
    jh13
    • updated according to Vivado 2022.2
    ma
    2023-02-083.1.12
    • removed
    • content of
      • Special FSBL for QSPI programming
    ma
    2022-08-243.1.11
    • Modification from link "available short link"
    ma
    2022-01-253.1.
    • Version History
      • changed from list to table
    • Design flow
      • removed step 5 from Design flow
      • changed link from TE Board Part Files to Vivado Board Part Flow
      • changed cmd shell from picture to codeblock
      • added hidden template for "Copy PetaLinux build image files", depending from hardware
      • added hidden template for "Power on PCB", depending from hardware
    • Usage update of boot process
    • Requirements - Hardware
      • added "*used as reference" for hardware requirements
    • all
      • placed a horizontal separation line under each chapter heading
      • changed title-alignment for tables from left to center
    • all tables
      • added "<project folder>\board_files" in Vivado design sources
    ma3.1.3
    • Design Flow
      • formatting
    • Launch
      • formatting
    ma3.1.2
    • minor typing corrections
    • replaced SDK by Vitis
    • changed from / to \ for windows paths
    • replaced <design name> by <project folder>
    • added "" for path names
    • added boot.src description
    • added USB for programming
    ma3.1.1
    • swapped order from prebuilt files
    • minor typing corrections
    • removed Win OS path length from Design flow, added as caution in Design flow
    ma3.1
    • Fix problem with pdf export and side scroll bar
    • update 19.2 to 20.2
    • add prebuilt content option
    3.0
    • add fix table of content
    • add table size as macro
    • removed page initial creator

    Custom_table_size_100

    10
    • removed u-boot.dtb from QSPI-Boot mode and SD-Boot mode. Is implemented in BOOT.bin
    • corrected Boot Source File in Boot Script-File
    ma
    2022-01-143.1.9
    • extended notes for microblaze boot process with linux
    • add u.boot.dtb to petalinux notes
    • add dtb to prebuilt content
    • replace 20.2 with 21.2
    jh
    2021-06-283.1.8
    • added boot process for Microblaze
    • minor typos, formatting
    ma
    2021-06-013.1.7
    • carrier reference note
    jh
    2021-05-043.1.6
    • removed zynq_ from zynq_fsbl
    ma
    2021-04-283.1.5
    • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
    • minor typos, formatting
    ma
    2021-04-273.1.4
    • Version History
      • changed from list to table
    • Design flow
      • removed step 5 from Design flow
      • changed link from TE Board Part Files to Vivado Board Part Flow
      • changed cmd shell from picture to codeblock
      • added hidden template for "Copy PetaLinux build image files", depending from hardware
      • added hidden template for "Power on PCB", depending from hardware
    • Usage update of boot process
    • Requirements - Hardware
      • added "*used as reference" for hardware requirements
    • all
      • placed a horizontal separation line under each chapter heading
      • changed title-alignment for tables from left to center
    • all tables
      • added "<project folder>\board_files" in Vivado design sources
    ma

    3.1.3
    • Design Flow
      • formatting
    • Launch
      • formatting
    ma

    3.1.2
    • minor typing corrections
    • replaced SDK by Vitis
    • changed from / to \ for windows paths
    • replaced <design name> by <project folder>
    • added "" for path names
    • added boot.src description
    • added USB for programming
    ma

    3.1.1
    • swapped order from prebuilt files
    • minor typing corrections
    • removed Win OS path length from Design flow, added as caution in Design flow
    ma

    3.1
    • Fix problem with pdf export and side scroll bar
    • update 19.2 to 20.2
    • add prebuilt content option


    3.0
    • add fix table of content
    • add table size as macro
    • removed page initial creator


    Custom_table_size_100

    Page properties
    hiddentrue
    idComments

    Important General Note:

    • Export PDF to download, if vivado revision is changed!

    • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

      • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)
        • Figure template (note: inner scroll ignore/only only with drawIO object):

          Scroll Title
          anchorFigure
    Page properties
    hiddentrue
    idComments

    Important General Note:

    • Export PDF to download, if vivado revision is changed!

    • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

      • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)

          Figure template (note: inner scroll ignore/only only with drawIO object):

    Scroll Title
    anchorFigure_xyz
    titleText
    Scroll Ignore

    Create DrawIO object here: Attention if you copy from other page, use

    Scroll Only

    image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed

  • Table template:

    • Layout macro can be use for landscape of large tables
    • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)
  • Scroll Title
    anchorTable_xyz
    titleText


    scroll-ignore

    Create DrawIO object here: Attention if you copy from other page, use


    Scroll Only

    image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



  • Table template:

    • Layout macro can be use for landscape of large tables
    • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)

  • Scroll Title
    anchorTable_xyz
    titleText

    tablelayout
    Scroll Table Layout
    orientation
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ExampleComment
    12



  • ...

  • Overview

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Notes :

    • short description of the design

    • Short Link of "Scroll only" macro:

    Refer to http://trenz.org/te0817-info for the current online version of this manual and other available documentation.

    Key Features

    Page properties
    hiddentrue
    idComments

    Notes :

    • Add basic key features, which can be tested with the design


    Excerpt
    • Vitis/Vivado 20212022.2.1
    • TEBF0818
    • Linux
    • USB
    • ETH
    • MAC from EEPROM
    • PCIe
    • SATA
    • SD
    • I2C
    • RGPIO
    • Display Port (DP)
    • user LED access
    • Modified FSBL for Si5345 programming

    Revision History

    Page properties
    hiddentrue
    idComments

    Notes :

    • add every update file on the download
    • add design changes on description
    Expand
    titleExpand List
    Scroll Title
    anchorTable_DRH
    title-alignmentcenter
    titleDesign Revision History

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateVivadoProject BuiltAuthorsDescription
    2022
    2023-
    09
    06-
    12
    30
    2021
    2022.2
    .1
    TE0817-StarterKit-vivado_
    2021
    2022.2-build_
    15
    2_
    20220912093833
    20230630091039.zip
    TE0817-StarterKit_noprebuilt-vivado_
    2021
    2022.2-build_
    15
    2_
    20220912093833
    20230630091039.zipManuela Strücker
    • 2022.2 release
    2022-09-122021.2.1TE0817-StarterKit-vivado_2021.2-build_15_20220912093833.zip
    TE0817-StarterKit_noprebuilt-vivado_2021.2-build_15_20220912093833.zip
    Manuela Strücker
    • update board part file compatible to Vivado 2021.2.1
    2022-06-272021.2

    TE0817-StarterKit-vivado_2021.2-build_14_20220627122156.zip
    TE0817-StarterKit_noprebuilt-vivado_2021.2-build_14_20220627122156.zip

    Manuela Strücker
    • initial release



    Release Notes and Know Issues

    Page properties
    hiddentrue
    idComments
    Notes :
    • add known Design issues and general notes for the current revision
    • do not delete known issue, add fixed version time stamp if issue fixed


    Scroll Title
    anchorTable_KI
    title-alignmentcenter
    titleKnown Issues

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    IssuesDescriptionWorkaroundTo be fixed version
    Xilinx SoftwareIncompatibility of board files for ZynqMP with eMMC activated between 2021.2 and 2021.2.1 patch, see Xilinx Forum Requestuse corresponding board files for the Vivado versions--


    Requirements

    Software

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design


    Scroll Title
    anchorTable_SW
    title-alignmentcenter
    titleSoftware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    SoftwareVersionNote
    Vitis20212022.2.1needed, Vivado is included into Vitis installation
    PetaLinux20212022.2needed
    SI ClockBuilder Pro---optional


    Hardware

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of hardware which was used to generate the design
    • mark the module and carrier board, which was used tested with an *

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on "<project folder>\board_files\*_board_files.csv"

    Design supports following modules:

    Expand
    titleExpand List
    Scroll Title
    anchorTable_HWM
    title-alignmentcenter
    titleHardware Modules

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes
    TE0817-01-7DE21-A*7ev_1e_4gbREV014GB128MBNANANA

    *used as reference

    Note: Design contains also Board Part Files for TE0817 only configuration, this board part files are not used for this reference design.

    Design supports following carriers:

    Scroll Title
    anchorTable_HWC
    title-alignmentcenter
    titleHardware Carrier

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Carrier ModelNotes
    TEBF0818Used as reference carrier.

    *used as reference

    Additional HW Requirements:

    Scroll Title
    anchorTable_AHW
    title-alignmentcenter
    titleAdditional Hardware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Additional HardwareNotes
    Display Port Monitor

    Optional HW
    Not all monitors are supported, also Adapter to other Standard can make trouble.
    Design was tested with DELL U2412M

    USB KeyboardOptional HW
    Can be used to get access to console which is show on Display Port
    USB StickOptional HW
    USB was tested with USB memory stick
    SATA DiskOptional HW
    PCIe CardOptional HW
    ETH cableOptional HW
    Ethernet works with DHCP, but can be setup also manually
    SD cardwith fat32 partition

    *used as reference

    Content

    Page properties
    hiddentrue
    idComments

    Notes :

    • content of the zip file

    For general structure and usage of the reference design, see Project Delivery - Xilinx AMD devices

    Design Sources

    Scroll Title
    anchorTable_DS
    title-alignmentcenter
    titleDesign sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    Vivado<project folder>\block_design
    <project folder>\constraints
    <project folder>\ip_lib
    <project folder>\board_files
    Vivado Project will be generated by TE Scripts
    Vitis<project folder>\sw_libAdditional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation
    PetaLinux<project folder>\os\petalinuxPetaLinux template with current configuration


    Additional Sources

    Scroll Title
    anchorTable_ADS
    title-alignmentcenter
    titleAdditional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    SI5345<project folder>\misc\PLL\Si5345_DSI5345 Project with current PLL Configuration
    init.sh<project folder>\misc\sd\Additional Initialization Script for Linux


    Prebuilt

    Page properties
    hiddentrue
    idComments

    Notes :

    • prebuilt files
    • Template Table:

      • Scroll Title
        anchorTable_PF
        title-alignmentcenter
        titlePrebuilt files

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        File

        File-Extension

        Description

        BIF-File*.bifFile with description to generate Bin-File
        BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
        BIT-File*.bitFPGA (PL Part) Configuration File
        Boot Script-File*.scr

        Distro Boot Script file

        DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

        Debian SD-Image

        *.img

        Debian Image for SD-Card

        Diverse Reports---Report files in different formats
        Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
        Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
        LabTools Project-File*.lprVivado Labtools Project File

        MCS-File

        *.mcs

        Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

        MMI-File

        *.mmi

        File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

        OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
        Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

        SREC-File

        *.srec

        Converted Software Application for MicroBlaze Processor Systems




    Scroll Title
    anchorTable_PF
    title-alignmentcenter
    titlePrebuilt files (only on ZIP with prebuilt content)

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File

    File-Extension

    Description

    BIF-File*.bifFile with description to generate Bin-File
    BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
    BIT-File*.bitFPGA (PL Part) Configuration File
    Boot Script-File*.scr

    Distro Boot Script file

    DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface
    Diverse Reports---Report files in different formats
    Device Tree*.dtsDevice tree (2 possible, one for u-boot and one for linux)
    Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
    LabTools Project-File*.lprVivado Labtools Project File
    OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
    Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems


    Download

    Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

    Page properties
    hiddentrue
    idComments

    Reference Design is available on:

    Design Flow

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Notes :
    • Basic Design Steps

    • Add/ Remove project specific description


    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    Note

    Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

      Code Block
      languagebash
      themeMidnight
      title_create_win_setup.cmd/_create_linux_setup.sh
      ------------------------Set design paths----------------------------
      -- Run Design with: _create_win_setup
      -- Use Design Path: <absolute project path>
      --------------------------------------------------------------------
      -------------------------TE Reference Design---------------------------
      --------------------------------------------------------------------
      -- (0)  Module selection guide, project creation...prebuilt export...
      -- (1)  Create minimum setup of CMD-Files and exit Batch
      -- (2)  Create maximum setup of CMD-Files and exit Batch
      -- (3)  (internal only) Dev
      -- (4)  (internal only) Prod
      -- (c)  Go to CMD-File Generation (Manual setup)
      -- (d)  Go to Documentation (Web Documentation)
      -- (g)  Install Board Files from Xilinx Board Store (beta)
      -- (a)  Start design with unsupported Vivado Version (beta)
      -- (x)  Exit Batch (nothing is done!)
      ----
      Select (ex.:'0' for module selection guide):


    2. Press 0 and enter to start "Module Selection Guide"
    3. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
      • optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

        Note

        Note: Select correct one, see also Vivado Board Part Flow


    4. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
      TE::hw_build_design -export_prebuilt


      Info

      Using Vivado GUI is the same, except file export to prebuilt folder.


    5. Create and configure your PetaLinux project with exported .xsa-file, see PetaLinux KICKstart
      • use TE Template from "<project folder>\os\petalinux"
      • use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . Note: HW Export from Vivado GUI creates another path as default workspace.

      • The build images are located in the "<plnx-proj-root>/images/linux" directory

    6. Configure the boot.scr file as needed, see Distro Boot with Boot.scr

    7. Generate Programming Files with Vitis
      1. Copy PetaLinux build image files to prebuilt folder
        1. copy u-boot.elf,
      u-boot
        1. system.dtb,
      system
        1. bl31.
      dtb
        1. elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder
          Info

          "<project folder>\prebuilt\os\petalinux\<ddr size>" or "<project folder>\prebuilt\os\petalinux\<short name>"


          Page properties
          hiddentrue
          idComments

          This step depends on Xilinx Device/Hardware

          for Zynq-7000 series

          • copy u-boot.elf,
      u-boot.dtb, system
          • system.dtb, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

          for ZynqMP

          • copy u-boot.elf,
      u-boot.dtb,
          • system.dtb, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

          for Microblaze

          • ...
      Generate


      1.  Generate Programming Files
      with Vitis
      1. Code Block
        languagepy
        themeMidnight
        titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
        TE::sw_run_vitis -all
        TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


        Note

        TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis


    8. Generate Programming Files with Petalinux (alternative), see PetaLinux KICKstart


    Launch

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure
    For basic board setup, LEDs... see: TEBF0818 Getting Started

    Programming

    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Xilinx documentation for programming and debugging: Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging

    Get prebuilt boot binaries

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
    2. Press 0 and enter to start "Module Selection Guide"
      1. Select assembly version
      2. Validate selection
      3. Select create and open delivery binary folder

        Info

        Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated


    QSPI-Boot mode

    Option for Boot.bin on QSPI Flash

    1. Connect JTAG and power on carrier with module
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script programs BOOT.bin on QSPI flash)
      TE::pr_program_flash -swapp hello_te0817


    3. Set Boot Mode to QSPI-Boot.
      • Depends on Carrier, see carrier TRM.

    SD-Boot mode

    1. Copy image.ub, boot.src and Boot.bin on SD
      • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder, see: Get prebuilt boot binaries
      • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
    2. Set Boot Mode to SD-Boot.
      • Depends on Carrier, see carrier TRM.
    3. Insert SD-Card in SD-Slot.

    JTAG

    Not used on this example.

    Usage

    1. Prepare HW like described on section Programming
    2. Connect UART USB (most cases same as JTAG)
    3. Select SD Card as Boot Mode (or QSPI - depending on step 1)

      Info

      Note: See TRM of the Carrier, which is used.


      Tip

      Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.
      The boot options described above describe the common boot processes for this hardware; other boot options are possible.
      For more information see Distro Boot with Boot.scr


    4. (Optional with TEBF0818) Insert PCIe Card (detection depends on Linux driver. Only some basic drivers are installed)
    5. (Optional with TEBF0818) Connect SATA Disc
    6. (Optional with TEBF0818) Connect Display Port Monitor (List of usable Monitors: https://www.xilinx.com/support/answers/68671.html)
    7. (Optional with TEBF0818) Connect Network Cable
    8. Power On PCB

      Expand
      titleboot process

      1. ZynqMP Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      Page properties
      hiddentrue
      idComments

      This step depends on Xilinx Device/Hardware

      for Zynq-7000 series

      1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for ZynqMP???

      1. ZynqMP Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for Microblaze with Linux

      1. FPGA Loads Bitfile from Flash,

      2. MCS Firmware configure SI5338 and starts Microblaze, (only if mcs is available)

      3. SREC Bootloader from Bitfile Firmware loads U-Boot into DDR (This takes a while),

      4. U-boot loads Linux from QSPI Flash into DDR


      for native FPGA

      ...



    Linux

    1. Open Serial Console (e.g. putty)
      • Speed: 115200
      • select COM Port

        Info

        Win OS, see device manager, Linux OS see dmesg |grep tty (UART is *USB1)


    2. Linux Console:

      Code Block
      languagebash
      themeMidnight
      # password default disabled with 2021.2 petalinux release
      petalinux login: root
      Password: root


      Info

      Note: Wait until Linux boot finished


    3. You can use Linux shell now.

      Code Block
      languagebash
      themeMidnight
      i2cdetect -y -r 0	(check I2C 0 Bus, replace 0 with other bus number is also possible)
      dmesg | grep rtc	(RTC check)
      udhcpc				(ETH0 check)
      lsusb				(USB check)
      lspci               (PCIe check)


    4. Option Features

      • Webserver to get access to ZynqMP
        • insert IP on web browser to start web interface
      • init.sh scripts
        • add init.sh script on SD, content will be load automatically on startup (template included in "<project folder>\misc\SD")

    Vivado HW Manager

    Page properties
    hiddentrue
    idComments

    Note:

    • Add picture of HW Manager

    • add notes for the signal either groups or topics, for example:

      Control:

      • add controllable IOs with short notes..

      Monitoring:

      • add short notes for signals which will be monitored only
      • SI5338 CLKs:
        • Set radix from VIO signals to unsigned integer.
          Note: Frequency Counter is inaccurate and displayed unit is Hz
        • expected CLK Frequency...

    Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder)

    • RGPIO Interface (Important: CPLD Firmware REV07 or newer is needed) for Control and Monitoring:

      • Set Enable to send Write date over RGPIO interface. 
    • Control:
      • LEDs: XMOD 2 (without green dot) and HD LED are accessible.
      • CAN_S
    Scroll Title
    anchorFigure_VHM
    title-alignmentcenter
    titleVivado Hardware Manager


    Image RemovedImage Added

    Image RemovedImage AddedImage Added


    System Design - Vivado

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    title-alignmentcenter
    titleBlock Design
    Image RemovedImage Added

    PS Interfaces

    Page properties
    hiddentrue
    idComments

    Note:

    • optional for Zynq / ZynqMP only

    • add basic PS configuration

    Activated interfaces:

    Scroll Title
    anchorTable_PSI
    title-alignmentcenter
    titlePS Interfaces

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeNote
    DDR
    QSPIMIO
    SD0MIO
    SD1MIO
    CAN0EMIO
    I2C0MIO
    PJTAG0MIO
    UART0MIO
    GPIO0MIO
    SWDT0..1
    TTC0..3
    GEM3MIO
    USB0MIO/GTP
    PCIeMIO/GTP
    SATAGTP
    Display PortEMIO/GTP


    Constraints

    Basic module constraints

    Code Block
    languageruby
    title_i_bitgen.xdc
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]

    Design specific constraints

    Code Block
    languageruby
    title_i_io.xdc
    #System Controller IP
    #HDIO_SC0  J3:C13  LED_HD
    #HDIO_SC1  J3:C14
    #HDIO_SC2  J3:D14
    #HDIO_SC3  J3:D15
    #HDIO_SC4  J3:D18
    #HDIO_SC5  J3:D19
    #HDIO_SC6  J3:C17
    #HDIO_SC7  J3:C18
    #HDIO_SC10  J3:A13
    #HDIO_SC11  J3:A14
    #HDIO_SC12  J3:B14
    #HDIO_SC13  J3:B15
    #HDIO_SC14  J3:A17
    #HDIO_SC15  J3:A18
    #HDIO_SC16  J3:B18  CAN S
    #HDIO_SC17  J3:B19  LED_XMOD 
    #HDIO_SC18  J3:B22  CAN TX 
    #HDIO_SC19  J3:B23  CAN RX
    
    
    set_property PACKAGE_PIN A13 [get_ports BASE_sc0]
    set_property PACKAGE_PIN D14 [get_ports BASE_sc5]
    set_property PACKAGE_PIN B14 [get_ports BASE_sc6]
    set_property PACKAGE_PIN C14 [get_ports BASE_sc7]
    
    set_property PACKAGE_PIN K11 [get_ports BASE_sc10_io]
    set_property PACKAGE_PIN K12 [get_ports BASE_sc11]
    set_property PACKAGE_PIN G14 [get_ports BASE_sc12]
    set_property PACKAGE_PIN H14 [get_ports BASE_sc13]
    set_property PACKAGE_PIN E12 [get_ports BASE_sc14]
    set_property PACKAGE_PIN F12 [get_ports BASE_sc15]
    set_property PACKAGE_PIN H12 [get_ports BASE_sc16]
    set_property PACKAGE_PIN H13 [get_ports BASE_sc17]
    set_property PACKAGE_PIN J12 [get_ports BASE_sc18]
    set_property PACKAGE_PIN K13 [get_ports BASE_sc19]
    
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc0]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc5]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc6]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc7]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc10_io]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc11]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc12]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc13]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc14]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc15]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc16]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc17]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc18]
    set_property IOSTANDARD LVCMOS18 [get_ports BASE_sc19]
    
    # PLL
    #J4:A28 B64_L14_P
    #set_property PACKAGE_PIN AF17 [get_ports {si570_clk_p[0]}]
    #set_property IOSTANDARD LVDS [get_ports {si570_clk_p[0]}]
    #set_property IOSTANDARD LVDS [get_ports {si570_clk_n[0]}]
    
    
    
    # Audio Codec
    #LRCLK      J3:D22 B47_L9_N
    #BCLK       J3:D23 B47_L9_P
    #DAC_SDATA  J3:C21 B47_L7_N
    #ADC_SDATA  J3:C22 B47_L7_P
    
    set_property PACKAGE_PIN C12 [get_ports I2S_lrclk ]
    set_property PACKAGE_PIN D12 [get_ports I2S_bclk ]
    set_property PACKAGE_PIN E13 [get_ports I2S_sdin ]
    set_property PACKAGE_PIN E14 [get_ports I2S_sdout ]
    
    set_property IOSTANDARD LVCMOS18 [get_ports I2S_lrclk ]
    set_property IOSTANDARD LVCMOS18 [get_ports I2S_bclk ]
    set_property IOSTANDARD LVCMOS18 [get_ports I2S_sdin ]
    set_property IOSTANDARD LVCMOS18 [get_ports I2S_sdout ]
    
      

    Software Design - Vitis

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue
    # MGTs
    #   R8    MGT_224_CLK0_P -> B2B,J3-B27 -> TEBF0818-01_FMC_J5E-D5
    #   R7    MGT_224_CLK0_N -> B2B,J3-B26 -> TEBF0818-01_FMC_J5E-D4
    #   N8    MGT_224_CLK1_P -> U5,38 -> Si5345 -> out4
    #   N7    MGT_224_CLK1_N -> U5,37 -> Si5345 -> out4
    
    #   L8    MGT_225_CLK0_P -> B2B,J3-C26 -> TEBF0818-01_FMC_J5E-B21
    #   L7    MGT_225_CLK0_N -> B2B,J3-C25 -> TEBF0818-01_FMC_J5E-B20
    #   J8    MGT_225_CLK1_P -> U5,35 -> Si5345 -> out3
    #   J7    MGT_225_CLK1_N -> U5,34 -> Si5345 -> out3
    
    #   H10   MGT_226_CLK0_P -> U5,31 -> Si5345 -> out2
    #   H9    MGT_226_CLK0_N -> U5,30 -> Si5345 -> out2
    #   F10   MGT_226_CLK1_P -> B2B,J3-D27 -> TEBF0818-01_CLK7_P -> B2B,J2-D5 -> U5,51 -> Si5345 -> out7
    #   F9    MGT_226_CLK1_N -> B2B,J3-D26 -> TEBF0818-01_CLK7_N -> B2B,J2-D6 -> U5,50 -> Si5345 -> out7
    
    #   D10   MGT_227_CLK0_P -> U5,28 -> Si5345 -> out1
    #   D9    MGT_227_CLK0_N -> U5,27 -> Si5345 -> out1
    #   B10   MGT_227_CLK1_P -> B2B,J2-A6 -> floating
    #   B9    MGT_227_CLK1_N -> B2B,J2-A7 -> floating
    
    set_property PACKAGE_PIN R8   [get_ports {MGT_CLK_IN_clk_p[0]}]
    set_property PACKAGE_PIN N8   [get_ports {MGT_CLK_IN_clk_p[1]}]
    set_property PACKAGE_PIN L8   [get_ports {MGT_CLK_IN_clk_p[2]}]
    set_property PACKAGE_PIN J8   [get_ports {MGT_CLK_IN_clk_p[3]}]
    set_property PACKAGE_PIN H10  [get_ports {MGT_CLK_IN_clk_p[4]}]
    set_property PACKAGE_PIN F10  [get_ports {MGT_CLK_IN_clk_p[5]}]
    set_property PACKAGE_PIN D10  [get_ports {MGT_CLK_IN_clk_p[6]}]
    set_property PACKAGE_PIN B10  [get_ports {MGT_CLK_IN_clk_p[7]}]   

    Software Design - Vitis

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For Vitis project creation, follow instructions from:

    Vitis

    Application

    Page properties
    hiddentrue
    idComments

    ---------------------------

    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For Vitis project creation, follow instructions from:

    Vitis

    Application

    Page properties
    hiddentrue
    idComments

    ----------------------------------------------------------

    FPGA Example

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 2021.2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 2021.2 xilisf_v5_11

    • Changed default Flash type to 5.

    ----------------------------------------------------------

    Zynq Example:

    fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY

    fsbl_flash

    TE modified 2021.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_fsbl_flash

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Template location: "<project folder>\sw_lib\sw_apps\"

    zynqmp_fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5345 Configuration
      • OTG+PCIe Reset over MIO
      • I2C MUX for EEPROM MAC

    zynqmp_fsbl_flash

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      • Display FSBL Banner
      • Set Boot Mode to JTAG

    zynqmp_pmufw

    Xilinx default PMU firmware.

    hello_te0817

    Hello TE0817 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Software Design -  PetaLinux

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    ------

    FPGA Example

    ----------------------------------------------------------

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 2022.2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 2022.2 xilisf_v5_11

    • Changed default Flash type to 5.


    ----------------------------------------------------------

    Zynq Example:

    ----------------------------------------------------------

    fsbl

    TE modified 2022.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY


    -------

    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"

    For PetaLinux installation and project creation, follow instructions from:

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    • select SD default instead of eMMC:
      • CONFIG_SUBSYSTEM_PRIMARY_SD_PSU_SD_1_SELECT=y
    • generate u-boot.dtb:
      •  CONFIG_SUBSYSTEM_UBOOT_EXT_DTB=y
    • add new flash partition for bootscr and sizing
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART0_SIZE=0xA00000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART2_SIZE=0x2000000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_NAME="bootscr"
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_SIZE=0x80000

    U-Boot

    Start with petalinux-config -c u-boot

    Changes:

    • MAC from eeprom together with uboot and device tree settings:
      • CONFIG_ZYNQ_GEM_I2C_MAC_OFFSET=0xFA
      • CONFIG_ENV_OVERWRITE=y
      • CONFIG_SYS_I2C_EEPROM_ADDR=0x50
      • CONFIG_SYS_I2C_EEPROM_BUS=7
    • Boot Modes:
      • CONFIG_QSPI_BOOT=y
      • CONFIG_SD_BOOT=y
      • # CONFIG_ENV_IS_IN_NAND is not set
      • CONFIG_BOOT_SCRIPT_OFFSET=0x2A40000

    Change platform-top.h:

    Code Block
    languagejs
    #include <configs/xilinx_zynqmp.h>
    #no changes

    Device Tree

    Code Block
    languagejs
    titleproject-spec\meta-user\recipes-bsp\device-tree\files\system-user.dtsi
    /include/ "system-conf.dtsi" /*

    ------------------

    gtr

    --------------------

    */ //https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/18841716/Zynq+Ultrascale+MPSOC+Linux+SIOU+driver / { refclk3:psgtr_dp_clock { compatible = "fixed-clock"; #clock-cells = <0x00>; clock-frequency = <27000000>; }; refclk2:psgtr_pcie_usb_clock { compatible = "fixed-clock"; #clock-cells = <0x00>; clock-frequency = <100000000>; }; refclk1:psgtr_sata_clock { compatible = "fixed-clock"; #clock-cells = <0x00>; clock-frequency = <150000000>; }; //refclk0:psgtr_unused_clock { // compatible = "fixed-clock"; // #clock-cells = <0x00>; // clock-frequency = <100000000>; //}; }; &psgtr { clocks = <&refclk1 &refclk2 &refclk3>; /* ref clk instances used per lane */ clock-names = "ref1\0ref2\0ref3"; }; /*

    -------------

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified 2021.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO


    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    ------------------

    SD

    --------------------

    */ &sdhci0 { // disable

    -

    wp; no

    -

    1

    -

    8

    -

    v; }; &sdhci1 { // disable

    -

    wp; no

    -

    1

    -

    8

    -

    v; }; /*

    ------------

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-

    ----- USB --------------------*/ &dwc3_0 { status = "okay"; dr_mode = "host"; snps,usb3_lpm_capable; snps,dis_u3_susphy_quirk; snps,dis_u2_susphy_quirk; phy-names = "usb2-phy","usb3-phy"; maximum-speed = "super-speed"; }; /*------------------ ETH PHY --------------------*/ &gem3 { phy-handle = <&phy0>; nvmem-cells = <&eth0_addr>; nvmem-cell-names = "mac-address"; phy0: phy0@1 { device_type = "ethernet-phy"; reg = <1>; }; }; /*------------------ QSPI --------------------*/ &qspi { #address-cells = <1>; #size-cells = <0>; status = "okay"; flash0: flash@0 { compatible = "jedec,spi-nor"; reg = <0x0>; #address-cells = <1>; #size-cells = <1>; }; }; /*------------------ I2C --------------------*/ &i2c0 { i2cswitch@73 { // u compatible = "nxp,pca9548"; #address-cells = <1>; #size-cells = <0>; reg = <0x73>; i2c-mux-idle-disconnect; i2c@0 { // MCLK TEBF0808 SI5338A, 570FBB000290DG_unassembled reg = <0>; }; i2c@1 { // SFP TEBF0808 PCF8574DWR reg = <1>; }; i2c@2 { // PCIe reg = <2>; }; i2c@3 { // SFP1 TEBF0808 reg = <3>; }; i2c@4 {// SFP2 TEBF0808 reg = <4>; }; i2c@5 { // TEBF0808 EEPROM reg = <5>; eeprom: eeprom@50 { compatible = "microchip,24aa025", "atmel,24c02"; reg = <0x50>; #address-cells = <1>; #size-cells = <1>; eth0_addr: eth-mac-addr@FA { reg = <0xFA 0x06>; }; }; }; i2c@6 { // TEBF0808 FMC reg = <6>; }; i2c@7 { // TEBF0808 USB HUB reg = <7>; }; }; i2cswitch@77 { // u compatible = "nxp,pca9548"; #address-cells = <1>; #size-cells = <0>; reg = <0x77>; i2c-mux-idle-disconnect; i2c@0 { // TEBF0808 PMOD P1 reg = <0>; }; i2c@1 { // i2c Audio Codec reg = <1>; /* adau1761: adau1761@38 { compatible = "adi,adau1761"; reg = <0x38>; }; */ }; i2c@2 { // TEBF0808 Firefly A reg = <2>; }; i2c@3 { // TEBF0808 Firefly B reg = <3>; }; i2c@4 { //Module PLL Si5338 or SI5345 reg = <4>; }; i2c@5 { //TEBF0808 CPLD reg = <5>; }; i2c@6 { //TEBF0808 Firefly PCF8574DWR reg = <6>; }; i2c@7 { // TEBF0808 PMOD P3 reg = <7>; }; }; };

    boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Template location: "<project folder>\sw_lib\sw_apps\"

    zynqmp_fsbl

    TE modified 2022.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name


    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5345 Configuration
      • OTG+PCIe Reset over MIO
      • I2C MUX for EEPROM MAC

    zynqmp_pmufw

    Xilinx default PMU firmware.

    hello_te0817

    Hello TE0817 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Software Design -  PetaLinux

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"

    For PetaLinux installation and project creation, follow instructions from:

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    • select SD default instead of eMMC:
      • CONFIG_SUBSYSTEM_PRIMARY_SD_PSU_SD_1_SELECT=y
    • add new flash partition for bootscr and sizing
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART0_SIZE=0xA00000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART1_SIZE=0x2000000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART2_SIZE=0x40000
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_NAME="bootscr"
      • CONFIG_SUBSYSTEM_FLASH_PSU_QSPI_0_BANKLESS_PART3_SIZE=0x80000
    • Identification
      • CONFIG_SUBSYSTEM_HOSTNAME="Trenz"
      • CONFIG_SUBSYSTEM_PRODUCT="TE0817_TEBF0818"

    U-Boot

    Start with petalinux-config -c u-boot

    Changes:

    • MAC from eeprom together with uboot and device tree settings:
      • CONFIG_ENV_OVERWRITE=y
      • CONFIG_ZYNQ_MAC_IN_EEPROM is not set
      • CONFIG_NET_RANDOM_ETHADDR is not set
    • Boot Modes:
      • CONFIG_QSPI_BOOT=y
      • CONFIG_SD_BOOT=y
      • CONFIG_ENV_IS_IN_FAT is not set
      • CONFIG_ENV_IS_IN_NAND is not set
      • CONFIG_ENV_IS_IN_SPI_FLASH is not set
      • CONFIG_SYS_REDUNDAND_ENVIRONMENT is not set
      • CONFIG_BOOT_SCRIPT_OFFSET=0x2A40000
    • Identification
      • CONFIG_IDENT_STRING=" TE0817"


    Change platform-top.h:

    Code Block
    languagejs
    #include <configs/xilinx_zynqmp.h>
    #no changes

    Device Tree

    Code Block
    languagejs
    titleproject-spec\meta-user\recipes-bsp\uboot-device-tree\files\system-user.dtsi
    /include/ "system-conf.dtsi"
    
    
    /*------------------ gtr --------------------*/
    
    //https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/18841716/Zynq+Ultrascale+MPSOC+Linux+SIOU+driver
     
    / {
      refclk3:psgtr_dp_clock {
              compatible = "fixed-clock";
              #clock-cells = <0x00>;
              clock-frequency = <27000000>;
      };
       
       refclk2:psgtr_pcie_usb_clock {
               compatible = "fixed-clock";
               #clock-cells = <0x00>;
               clock-frequency = <100000000>;
       };
        
      refclk1:psgtr_sata_clock {
              compatible = "fixed-clock";
              #clock-cells = <0x00>;
              clock-frequency = <150000000>;
      };
        
      //refclk0:psgtr_unused_clock {
      //        compatible = "fixed-clock";
      //        #clock-cells = <0x00>;
      //        clock-frequency = <100000000>;
      //};
    };
     
    &psgtr {
      clocks =  #clock-cells = <0x00><&refclk1 &refclk2 &refclk3>;
      //* ref clk instances used per lane */
      clock-frequencynames = <100000000>"ref1\0ref2\0ref3";
    };
     
     //};
    };
     
    &psgtr {
      clocks = <&refclk1 &refclk2 &refclk3>;
      /* ref clk instances used per lane */
      clock-names = "ref1\0ref2\0ref3";
    };
     
     
    /*------------------ SD --------------------*/
    &sdhci0 {
        // disable-wp;
        no-1-8-v;
     
    };
     
    &sdhci1 {
        // disable-wp;
        no-1-8-v;
     
    };
     
     
    /*------------------ SDUSB --------------------*/
    &sdhci0dwc3_0 {
        status // disable-wp= "okay";
        no-1-8-vdr_mode = "host";
      
    };
     
    &sdhci1 {
        // disable-wp  snps,usb3_lpm_capable;
        snps,dis_u3_susphy_quirk;
        snps,dis_u2_susphy_quirk;
        phy-names = "usb2-phy","usb3-phy";
        no-1-8-v;
     
    maximum-speed = "super-speed";
    };
     
     
    /*------------------ USBETH PHY --------------------*/
    &dwc3_0gem3 {
        statusphy-handle = "okay";<&phy0>;
        
        dr_modenvmem-cells = "host"<&eth0_addr>;
        snps,usb3_lpm_capablenvmem-cell-names = "mac-address";
        snps,dis_u3_susphy_quirk;
    
         snps,dis_u2_susphy_quirk;
    phy0: phy0@1 {
           phy-names device_type = "usb2-phy","usb3ethernet-phy";
        maximum-speed    reg = "super-speed"<1>;
        }; };
    
    
    /*------------------ ETHSATA PHY --------------------*/
    &gem3sata {
    
    			ceva,p0-burst-params = <0x13084a06>;
    			ceva,p0-cominit-params  phy-handle= <0x18401828>;
    			ceva,p0-comwake-params = <&phy0><0x614080e>;
    			ceva,p0-retry-params =   
        nvmem-cells = <&eth0_addr>;
        nvmem-cell-names = "mac-address";
        
        phy0: phy0@1 {
            device_type = "ethernet-phy";
            reg = <1>;
        };
    };
     <0x96a43ffc>;
    			ceva,p1-burst-params = <0x13084a06>;
    			ceva,p1-cominit-params = <0x18401828>;
    			ceva,p1-comwake-params = <0x614080e>;
    			ceva,p1-retry-params = <0x96a43ffc>;
    
    };
    
    
    /*------------------ QSPI --------------------*/
    &qspi {
        #address-cells = <1>;
        #size-cells = <0>;
        status = "okay";
        flash0: flash@0 {
            compatible = "jedec,spi-nor";
            reg = <0x0>;
            #address-cells = <1>;
            #size-cells = <1>;
        };
    };
    
    
    /*------------------ I2C --------------------*/
    &i2c0 {
        i2cswitch@73 { // u
            compatible = "nxp,pca9548";
            #address-cells = <1>;
            #size-cells = <0>;
            reg = <0x73>;
            i2c-mux-idle-disconnect;
            i2c@0 { // MCLK TEBF0808 SI5338A, 570FBB000290DG_unassembled
                reg = <0>;
            };
            i2c@1 { // SFP TEBF0808 PCF8574DWR
                reg = <1>;
            };
            i2c@2 { // PCIe
                reg = <2>;
            };
            i2c@3 { // SFP1 TEBF0808
                reg = <3>;
            };
            i2c@4 {// SFP2 TEBF0808
                reg = <4>;
            };
            i2c@5 { // TEBF0808 EEPROM
                reg = <5>;
                eeprom: eeprom@50 {
                    compatible = "microchip,24aa025", "atmel,24c02";
                    reg = <0x50>;
                    
                    #address-cells = <1>;
                    #size-cells = <1>;
                    eth0_addr: eth-mac-addr@FA {
                      reg = <0xFA 0x06>;
                    };
               };
            };
            i2c@6 { // TEBF0808 FMC 
                reg = <6>;
            };
            i2c@7 { // TEBF0808 USB HUB
                reg = <7>;
            };
        };
        i2cswitch@77 { // u
            compatible = "nxp,pca9548";
            #address-cells = <1>;
            #size-cells = <0>;
            reg = <0x77>;
            i2c-mux-idle-disconnect;
            i2c@0 { // TEBF0808 PMOD P1
                reg = <0>;
            };
            i2c@1 { // i2c Audio Codec
                reg = <1>;
                /*
                adau1761: adau1761@38 {
                    compatible = "adi,adau1761";
                    reg = <0x38>;
                };
                */
            };
            i2c@2 { // TEBF0808 Firefly A
                reg = <2>;
            };
            i2c@3 { // TEBF0808 Firefly B
                reg = <3>;
            };
            i2c@4 { //Module PLL Si5338 or SI5345
                reg = <4>;
            };
            i2c@5 { //TEBF0808 CPLD
                reg = <5>;
            };
            i2c@6 { //TEBF0808 Firefly PCF8574DWR
                reg = <6>;
            };
            i2c@7 { // TEBF0808 PMOD P3
                reg = <7>;
            };
        };
    };
      

    FSBL patch

    currently not included
    
    
    

    Kernel

    Start with petalinux-config -c kernel

    Changes:

    • Only needed to fix JTAG Debug issue:# CONFIG:_CPU_IDLE is not set
      • # CONFIG_CPU_FREQ is not set
      • CONFIG_EDAC_CORTEX_ARM64=y
    • Support PCIe memory card
      • CONFIG_NVME_CORE=y
      • CONFIG_BLK_DEV_NVME=y
      • # CONFIG_NVME_MULTIPATH is not set
      • # CONFIG_NVME_HWMON is not set
      • # CONFIG_NVME_TCP is not set
      • CONFIG_NVME_TARGET=y
      • # CONFIG_NVME_TARGET_PASSTHRU is not set
      • # CONFIG_NVME_TARGET_LOOP is not set
      • # CONFIG_NVME_TARGET_FC is not set
      • # CONFIG_NVME_TARGET_TCP is not set
      • CONFIG_SATA_AHCI=y
      • CONFIG_SATA_MOBILE_LPM_POLICY=0
      • CONFIG_NVM=y
      • CONFIG_NVM_PBLK=y
      • CONFIG_NVM_PBLK_DEBUG=y

    Rootfs

    Start with petalinux-config -c rootfs

    Changes:

    • For web server app:
      • CONFIG_busybox-httpd=y
    • For additional test tools only:
      • CONFIG_i2c-tools=y
      • CONFIG_packagegroup-petalinux-utils=y    (util-linux,cpufrequtils,bridge-utils,mtd-utils,usbutils,pciutils,canutils,i2c-tools,smartmontools,e2fsprogs)
    • For auto login:
      • CONFIG_auto-login=y
      • CONFIG_ADD_EXTRA_USERS="root:root;petalinux:;"

    FSBL patch (alternative for vitis fsbl trenz patch)

    See "<project folder>\os\petalinux\project-spec\meta-user\recipes-bsp\embeddedsw"

    Applications

    See "<project folder>\os\petalinux\project-spec\meta-user\recipes-apps\"

    startup

    Script App to load init.sh from SD Card if available.

    webfwu

    Webserver application suitable for ZynqMP access. Need busybox-httpd

    Additional Software

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • Add description for other Software, for example SI CLK Builder ...
    • SI5338 and SI5345 also Link to:

    SI5345

    File location "<project folder>\misc\PLL\Si5345_D\Si5345-*.slabtimeproj"

    General documentation how you work with this project will be available on Si5345

    App. A: Change History and Legal Notices

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Document Change History

    To get content of older revision go to "Change History" of this page and select older document revision number.

    Page properties
    hiddentrue
    idComments
    • flexible Breite Note this list must be only updated, if the document is online on public doc!
    • It's semi automatically, so do following
      • Add new row below first

      • Copy "Page Information Macro (date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

      • Metadata is only used of compatibility of older exports


    Scroll Title
    anchorTable_dch
    title-alignmentcenter
    titleDocument change history.

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths2*,*,3*,4*
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateDocument Revision

    Authors

    Description

    Page info
    modified-date
    modified-date
    dateFormatyyyy-MM-dd

    Page info
    infoTypeCurrent version
    dateFormatyyyy-MM-dd
    prefixv.
    typeFlat

    Page info
    infoTypeModified by
    typeFlat

    • 2022.2 release
    2022-09-12v.5

    Manuela Strücker

    • update board part file compatible to Vivado 2021.2.1
    2022-09-06v.4

    Manuela Strücker

    • initial release
    --all

    Page info
    infoTypeModified users
    dateFormatyyyy-MM-dd
    typeFlat

    --


    Legal Notices

    Include Page
    IN:Legal Notices
    IN:Legal Notices



    Scroll Only


    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 0%;
    }</style>
    



    Scroll pdf ignore


    Custom_fix_page_content

    Table of contents

    Table of Contents
    outlinetrue