Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.
Scroll Ignore

Download PDF version of this document.


Scroll pdf ignore

Table of Contents

Table of Contents

Overview

Scroll Only (inline)
Refer to https://wiki.trenz-electronic.de/display/PD/TE0711+TRM for online version of this manual and the rest of available documentation.

Trenz Electronic TE0711 (Rick Martin complete article number removed) is a is an industrial-grade FPGA module integrating a Xilinx Artix-7 SoM (System on Module)FPGA, 32 Mbyte MByte Quad SPI Flash memory for configuration and operation , and powerful switchswitching-mode power supplies for all on-board voltages. A large number of Numerous configurable I/Os O's are provided via rugged high-speed stacking strips. All modules in 4 x 5 cm form factor are mechanically compatible.All this on a tiny footprint, smaller than a credit card , size at a very competitive price. All Trenz Electronic SoM's in 4 x 5 cm form factor are mechanically compatible.

Key Features

  • Industrial-grade Xilinx Artix-7

    XC7A15 - XC7A100 (Rick Martin added range of FPGA)

    (15T to 100T) FPGA, supported by the free Xilinx Vivado WebPACK tool

  • Rugged for shock and high vibration

  • FTDI FT2232H USB 2.0 high-speed to UART/FIFO
  • 32 MByte

    32 Mbyte

    QSPI Flash memory (with XiP support)

  • 100 MHz MEMS oscillator

  • Plug-on module with

    2 × 100-pin and

    1 × 60-pin high-speed hermaphroditic strips

  • 178 FPGA I/Os (84 differential pairs)

    and

    are available

    on board

    on board-to-board connectors

  • On-board high-efficiency DC-DC converters

    • 4.0 A x 1.0 V power rail

    • 1.0 A x 1.8 V power rail

    • 1.0 A x 1.5 V power rail

  • System management and power sequencing

  • eFUSE bit-stream encryption

  • AES bit-stream encryption

  • 3 user LEDs
  • FTDI FT2232H USB2 High Speed IC Chip

    User LED

  • Evenly-spread supply pins for good signal integrity

Assembly options for cost or performance optimization available upon request.

Block Diagram

Image Added

Figure 1: TE0711-01 block diagram.

Page break

Main Components

Image RemovedImage Removed
Top ViewBottom View

 Image Added  Image Added

Figure 2: TE0711-01 PCB.

  1. Xilinx Artix-7 FPGA (Artix-7), U5
  2. 4A PowerSoC DC-DC converter for 1.0V (Altera EN6347QI), U1
  3. 1A PowerSoC DC-DC converter for 1.8V (Altera EN5311QI), U11
  4. 32 MByte Quad SPI Flash memory 32 MByte 32 Mbyte Quad SPI Flash memory (Cypress S25FL256S), U7
  5. Dual USB to UART/FIFO Bridge bridge (FTDI FT2232H)
  6. System Controller CPLD

  7. Oscillator 100MHz (SiT8008) - System clock for FPGA

  8. EEPROM (93AA56B) - for FT2232H
  9. Oscillator 12MHz (SiT8008) - for FT2232H

Detailed Description

PL Programmable Logic

TE0711 SoM is based on the Artix-7 Series Families FPGA and is available in five different logic densities (A15T,A35T,A50T,A75T,A100T).

The devices can be programmed with the free Xilinx Vivado WebPACK software. Further information on the Artix-7 FPGA can be found in the Xilinx  document 7 Series FPGAs Overview (DS180).

 

BoardFPGALogic CellsFlip-FlopsBRAM
TE0711-01-15XC7A15T16,64020,80025
TE0711-01-35XC7A35T33,28041,60050
TE0711-01-50XC7A50T52,16065,20075
TE0711-01-75XC7A75T75,52094,400105
TE0711-01-100XC7A100T101,440126,800135

Configuration Modes

ModeInterfaceNotes
JTAGJTAGFor debugging purposes
SPI
Flash
SPI Master
4-bit mode
Main configuration mode.
SPI Flash is used to store FPGA bitstream(s), PS Executable Object code and user data.

TE0711 Configuration Modes

 

Config PinSettingNotes
M03.3VBootmode setting:
Master SPI
M10V
M20V
CFGBVS3.3VSelect 3.3V as Config Bank I/O Voltage
PUDCStrong pull-up to 3.3VPre-configuration pull-ups are DISABLED

TE0711 Configuration pin settings

Configuration Memory

TE0711 standard assembly option includes 32MByte SPI Flash for configuration and data storage. This memory is large enough to hold at least 4 uncompressed FPGA Bitstreams.

 

ParameterValueNotes
Memory size (MBytes)32 
Vendorspansionhttp://www.spansion.com
Device typeS25FL256SAGBHI20 
Vivado CFGMEMs25fl256sxxxxxx0-spi-x1_x2_x4Value to be used with Vivado labtools flash programmer
Vivado Board Part File Interface nameSPI Flash 

Parameter values for the SPI Flash memory included in the standard assembly option.

XADC

XADC is configured with internal reference voltage option. All XADC inputs that are shared with FPGA I/O are available in the B2B Connector. There is no access to the dedicated XADC input pins.

PS Processing System

TE0711 has no hard PS subsystem. Microblaze Soft Processor or Microblaze MCS can be used, they are both free of charge and included with Xilinx free Vivado Webpack version.

ProcessorBus InterfacesPeripherals
Microblaze MCSCustomUART, GPIO, Timer
MicroblazeAXI4, AXI4-Stream, LMBVivado IP Catalog

Processing System Program Memory content can be embedded in the bitstream or loaded from SPI Flash by a bootloader.

Microblaze™

 

Microblaze MCS

Image Removed

Example Microblaze MCS system, reset, clock, UART and LEDS to GPIO are connected by Vivado Board Part Interface wizard, no constraint files used or needed. This example Processing System uses less than 5% of A35T logic resources.

Clock Sources

 

IC DesignatorDescriptionFrequencyUsed asFPGA PinIO StandardVivado Board Part Interface
U3MEMS Oscillator12MHzClock for FT2232Hn/an/anot available (no connection to FPGA)
U8MEMS Oscillator100MHzSystem ClockP17LVCMOS33System Clock

In standard assembly option MEMS oscillator with 100MHz Frequency and 50 ppm stability is used. Other frequencies possible for custom order.

Reset Sources

 

Reset TypeSourceNotes
Power On ResetSystem ControllerPROG_B released after power on causing FPGA reconfiguration
B2B ResetJM2.18Active low value forces FPGA reconfiguration
Dummy ResetFPGA pin D9Can be used as reset with fixed always inactive value if needed (may have to add pullup or pulldown constraint)
Soft ResetAny FPGA B2B I/OUser defined soft reset input with user defined polarity
Debug ResetMicroblaze MDMJTAG debugger soft reset

LEDS

There are 3 LED's directly connected to FPGA I/O Pins. Vivado Board Part Interface (GPIO) name: "LEDS".

LED
ColorIOSTANDARD
FPGA Pin
Vivado Board Part port nameIndex in GPIO "LEDS"
Description
D1redLVCMOS18A8sys_led0User LED
D2greenLVCMOS33R17led21User LED
D3greenLVCMOS33L15led32User LED, active low

 

Dual channel USB UART/FIFO

...

  1. , U6
  2. Load switch for 3.3V voltage level (Texas Instruments TPS27082L), Q1
  3. B2B connector Samtec Razor Beam™ LSHM-150, JM1
  4. B2B connector Samtec Razor Beam™ LSHM-150, JM2
  5. B2B connector Samtec Razor Beam™ LSHM-130, JM3
  6. System Controller CPLD (Lattice Semiconductor LCMXO2-256HC), U4
  7. 100.000000 MHz reference clock connected to the FPGA bank 14 (SiTime SiT8008), U8
  8. 12.000000 MHz reference clock connected to the USB to UART/FIFO bridge (SiTime SiT8008), U3
  9. Configuration data serial EEPROM for USB to UART/FIFO bridge (Microchip 93AA56), U2
  10. Voltage detector for "Power OK" signalling (Texas Instruments TPS3805H3), U23

Page break

Initial Delivery State

Storage Component

Content

Notes

SPI Flash OTP Area

Empty, not programmed

Except serial number programmed by flash vendor.

SPI Flash Quad Enable bit

Programmed

-

SPI Flash main array

Demo design

-

EFUSE USER

Not programmed

-

EFUSE Security

Not programmed

-

Table 1: TE0711-01 initial delivery state.

Signals, Interfaces and Pins

Board to Board (B2B) I/Os

I/O signals connected to the FPGA's I/O banks and B2B connector:

BankTypeB2B ConnectorI/O Signal CountVoltageNotes

14

HR

JM1

JM2

8 I/O pins

18 I/O pins, (9 LVDS pairs)

3.3V

HR banks support voltages from 1.2V to 3.3V.

See Xilinx Artix-7 datasheet (DS181) for voltage ranges.

15

HR

JM1

48 I/O pins

24 LVDS pairs

User

As above.

16

HR

JM1

6 I/O pins

3 LVDS pairs

1.8V

As above.
34HR

JM1

JM3

48 I/O pins

24 LVDS pairs

UserAs above.
35HRJM2

50 I/O pins

24 LVDS pairs

UserAs above.

Table 2: Voltage ranges and pin-outs of available logic banks of the FPGA.

Refer to Master Pin-out Table as primary reference for the pin mapping information.

JTAG Interface

JTAG access to the Xilinx Artix-7 and to the System Controller CPLD is provided through B2B connector JM2.

JTAG SignalB2B Connector
TCKJM2-99
TDIJM2-95
TDOJM2-97
TMS

JM2-93

Table 3: Pin mapping of JTAG Interface on B2B connector

Note

JTAGSEL pin on B2B connector JM1 is used to control which physical device is accessible via JTAG interface. If this pin is set to low or left open, JTAG interface is enabled for Xilinx Artix-7 FPGA, if set to high, JTAG interface for System Controller CPLD will be enabled.

The use of Xilinx legacy development tools (ISE, iMPACT) is not recommended. iMPACT does not recognize any Xilinx Artix-7 below A100T model.

System Controller I/O Pins

Special purpose pins are connected to smaller System Controller CPLD and have following default configuration:

Pin NameModeFunctionDefault ConfigurationB2B Connector
STAT_SC2OutputPower GoodActive high when all on-module power supplies are working properly.JM1-30
NRST_SC0InputResetActive low reset signal, drive low to keep the system in reset (FPGA pin PROG_B will be driven by CPLD).JM2-18
JTAGSELInputJTAG SelectLow for normal operation, high (3.3V) to program the System Controller CPLD.JM1-89
EN_SC3InputEnable FPGA Core Voltage supplyHigh (3.3V) or open for normal operation, low to stop power-on sequencing.JM1-28

Table 4: Pin description of System Controller CPLD.

On-board LEDs

The TE0711 SoM has total of 4 on-board LED's. LED's D1, D2 and D3 are connected to the Xilinx Artix-7 FPGA and can be freely used by user design. LED D4 is the System Controller CPLD status LED.

LEDColorConnected toDescription and Notes
D1RedSYSLED2User LED, active HIGH, connected to FPGA Pin A8
D2GreenSYSLED4User LED, active HIGH, connected to FPGA Pin R17
D3GreenSYSLED3User LED, active LOW, connected to FPGA Pin L15
D4GreenSYSLED1System Controller status LED, connected to CPLD

Table 5: Description of on-board LED's.

Clocking

The TE0711-01 is equipped with MEMS oscillator (SiT8008AI-73-XXS-100.000000E, U8) to provide 100 MHz clock signal for Xilinx Artix-7 FPGA pin P17.

On-board Peripherals

32 MByte Quad SPI Flash Memory

On-board SPI flash memory S25FL256S (U7) is used to store initial FPGA configuration. Besides FPGA configuration, remaining free flash memory can be used for user application storage. All four SPI data lines are connected to the FPGA allowing x1, x2 or x4 data bus widths. Maximum data rate depends on the bus width and clock frequency used.

Note

SPI Flash QE (Quad Enable) bit must be set to high or FPGA is unable to load its configuration from flash. By default this bit is set to high at the manufacturing plant.

System Controller CPLD

The system controller is used to coordinate the configuration of the FPGA. The FPGA is held in reset (by driving the PROG_B signal) until the power supplies have sequenced. Low level at NRST_SC0 pin also resets the FPGA. This signal can be driven from the user’s PCB via the B2B connector pin JM2-18. Input EN_SC3 is also gated to FPGA Reset and should be open or pulled up for normal operation. EN_SC3 low turns off on board DC-DC converters and stops power-on sequencing.

It is possible for the user to create their own system controller design using the Lattice Diamond software. Once created the design can be programmed into the device using the JTAG pins. The signal JTAGSEL should be set to 3.3V to enable programming mode. For normal operation it should be set to 0V.

There are one status LED connected to the system controller CPLD. When the FPGA is not configured the LED will flash continuously. Finally once FPGA configuration has completed the LEDs can be used in the user's FPGA design.

Dual channel USB to UART/FIFO

The TE0711-01 SoM has on-board high-speed USB 2.0 to UART/FIFO FT2232H controller from FTDI. Channel A can only be used in simple UART mode

...

. Channel B can be used as UART

...

in

...

FT245 FIFO mode, JTAG (MPSSE)

...

mode or in high-speed serial modes.

FT2232H controller is connected to the FPGA bank 14 with fixed 3.3V VCCIO and all signalling must meet the LVCMOS 3.3V I/O standard.

256 Byte EEPROM is connected to the FT2232H-chip to store custom configuration settings. These settings can be changed using FTDI provided tools that can be downloaded from FTDI website.

 

...

Channel A (Vivado Board Part Interface name: "FTDI Channel A")

...

 

...

Power and Power-On Sequence

Power Supply

Power supply with minimum current capability of 2A for system startup is recommended.

 Power Input PinVoltage RangeMax Current
VIN3.3V to 5.5VTypical 200mA, depending on customer design and connections.
3.3VIN3.3VTypical 50mA, depending on customer design and connections.

Table 6: Typical power consumption.

Tip

VIN and VIN3.3V can be connected to the same power source (3.3V).

Lowest power consumption is achieved when powering the module from single 3.3V supply. When using split 3.3V/5V supplies the power consumption (and heat dissipation) will rise, this is due to the DC/DC converter efficiency (it decreases when VIN/VOUT ratio rises).

Power-On Sequence

For highest efficiency of on board DC-DC regulators, it is recommended to use same 3.3V power source for both VIN and 3.3VIN power rails. Although VIN and 3.3VIN can be powered up in any order, it is recommended to power them up simultaneously.

It is important that all baseboard I/O's are 3-stated at power-on until System Controller sets STAT_SC2 signal high (B2B connector JM1, pin 30), or 3.3V is present on B2B connector JM2 pins 10,12 or 91, meaning that all on-module voltages have become stable and module is properly powered up.

See Xilinx Artix-7 datasheet DS181 for additional information. Also check related baseboard documentation when choosing baseboard design for TE0711-01 module.

A 3.3V supply is also needed and must be supplied from the user's PCB. An output 3.3V supply is available on some of the board connector pins (see section 'Power Rails'). The input 3.3VIN will be switched to the internal 3.3V voltage level after the FPGA 1.0V supply has stabilized. Than 3.3V supply will be available on the B2B connector pins.

The regulators can be powered from the 3.3V supply or a 5V supply if preferred. The options for powering the board are as follows:

  • Apply 5V to pins VIN and 3.3V to pins 3.3VIN on the board connector
  • Apply 3.3V to pins VIN and 3.3VIN on the board connectors.

Power Rails

Voltages on B2B-

Connectors

B2B JM1-PinB2B JM2-PinDirectionNote
VIN

1, 3, 5

2, 4, 6, 8inputsupply voltage
3.3VIN13, 15-inputsupply voltage
VCCIO159, 11-inputhigh range bank voltage
VCCIO34-1, 3inputhigh range bank voltage
VCCIO35
7, 9inputhigh range bank voltage
3.3V-10, 12outputinternal 3.3V voltage level
JTAG VREF-91outputJTAG reference voltage (3.3V).
1.8V39-outputinternal 1.8V voltage level

Table 7: Power rails of SoM on B2B connectors.

Bank Voltages

BankSchematic NameVoltageRange
0 Config3.3V3.3V-
143.3V3.3V- 
15VCCIO15userHR: 1.2V to 3.3V
161.8V1.8V-
34VCCIO34userHR: 1.2V to 3.3V
35VCCIO35userHR: 1.2V to 3.3V

Table 8: Range of FPGA's bank voltages.

See the Artix7 datasheet DS181 for the allowable voltage range.

Board to Board Connectors

Include Page
4 x 5 SoM LSHM B2B Connectors
4 x 5 SoM LSHM B2B Connectors

Variants Currently In Production

Module Variant

FPGAFPGA Junction TemperatureOperating Temperature Range
TE0711-01-35-2IXC7A35T-2CSG324I-40°C to 100°CIndustrial grade
TE0711-01-100-2IXC7A100T-2CSG324I-40°C to 100°CIndustrial grade
TE0711-01-35-2CXC7A35T-2CSG324C0°C to 85°CCommercial grade
TE0711-01-100-2CXC7A100T-2CSG324C0°C to 85°CCommercial grade

Table 9: Differences between TE0711-01 module variants.

Technical Specifications

Absolute Maximum Ratings

ParameterMinMaxUnitsNotes

VIN supply voltage

-0.37.0VEN6347QI, EN5311QI datasheet
3.3VIN supply voltage

-0.1

3.6 V-
HR I/O banks supply voltage (VCCO)-0.53.6 VXilinx datasheet DS181
HR I/O banks input voltage-0.4VCCO + 0.55 VXilinx datasheet DS181
Voltage on module JTAG pins

-0.5

VCCO_0 + 0.45 VVCCO_0 is 3.3V nominal
Storage temperature-55

+125

 °C-

Table 10: Absolute maximum ratings.

Recommended Operating Conditions

ParameterMinMaxUnitsNotes
VIN supply voltage2.45.5 VEN5311QI data sheet
3.3VIN supply voltage3.1353.465 V

3,3V ± 5%

HR I/O banks supply voltage (VCCO)1.143.465 V

Xilinx datasheet DS181

HR I/O banks input voltage-0.20VCCO + 0.2 V

Xilinx datasheet DS181

Voltage on JTAG pins3.1353.465 V3,3V ± 5%

Table 11: Recommended operating conditions.

Operating Temperature Ranges

Commercial grade: 0°C to +70°C.

Industrial grade: -40°C to +85°C.

Module operating temperature range depends also on customer design and cooling solution. Please contact us for options.

Note
Please check Xilinx datasheet (DS181) for complete list of absolute maximum and recommended operating ratings.

Page break

...

FT2232H pin connection to FPGA I/O, all pins are connected to bank B14 with fixed 3.3V VCCIO and should be used with LVCMOS33 I/O Standard.

 

More information is available from FTDI website:

Board-to-Board Connectors

View and download specifications of connectors used on this module here:  Samtec LSHM

View and download the connector pinout for this module in the master pinout table here: Master Pinout Table

Initial Delivery state

Storage device nameContentNotes
FT2232H EEPROMEmpty, not programmed 
SPI Flash OTP AreaEmpty, not programmedExcept serial number programmed by flash vendor
SPI Flash Quad Enable bitProgrammed 
SPI Flash main arraydemo design 
EFUSE USERNot programmed 
EFUSE SecurityNot programmed 

Technical Specification

Absolute Maximum Ratings

...

Physical Dimensions

  • Module size: 50 mm × 40 mm.  Please download the assembly diagram for exact numbers.
  • Mating height with standard connectors: 8mm
  • PCB thickness: 1.6mm
  • Highest part on PCB: approx. 2.5mm. Please download the step model for exact numbers.

Download physical dimensions diagrams here: TE0711 Physical Dimensions

Power Supplies

Vin3.3 V to 5.5 VTypical 200mA, depending on customer design and connections
Vin 3.3V3.3 V

Typical 50mA, depending on customer design and connections

For startup, a power supply with minimum current capability of 2A is recommended.

Tip

Vin and Vin 3.3V can be connected to the same source (3.3 V).

Temperature Ranges

Commercial grade modules0 °C to +70 °C
Industrial grade modules-40 °C to +85 °C
Note

Depending on the customer design, additional cooling might be required.

Weight

TBDgWithout bolts
TBDgWith bolts screwed to the module

Downloads For This Product

Recommended Software: Xilinx Vivado WebPACK (free license)

Note
A15T, A35T, A50T, A75T are not supported by Xilinx legacy tools (ISE, Impact).

The schematic is available for download here: TE0711 Schematic

Resources

All dimensions are shown in millimeters.

   Image AddedImage Added

Figure 3: Physical dimensions of the TE0711-01 board.

Weight

20.6 g Plain module.

8.8 g Set of nuts and bolts.

Revision History

Hardware Revision History

DateRevisionNotesPCNDocumentation Link
2015-01-0201

First production release


TE0711-01

Table 12: Hardware revisions.

Hardware revision number is printed on the PCB board together with the module model number separated by the dash.

Image Added

Document Change History

DateRevisionContributorsDescription

Page info
modified-date
modified-date
dateFormatyyyy-MM-dd

John Hartfiel
  • Update Power Rail Section
2017-11-10v.33John Hartfiel
  • Replace B2B connector section
2017-01-29

v.30

Jan Kumann
  • New block diagram.
2017-01-01

v.10

Ali Naseri, Thorsten Trenz, Jan Kumann
  • TRM revision.
2015-06-05v.1

Antti Lukats

  • Initial version.

...

Disclaimer

Include Page
IN:Include Legal Notices
IN:Include Legal Notices