You are viewing an old version of this page. View the current version.

Compare with Current View Page History

« Previous Version 2 Next »

 

 

File or DirectoryTypeDescription
<version>/scripts/sourceGlobal scripts
<version>/scripts/init.tclsourceinit.tcl pre loads script functions, should be added to Vivado "User Button"
<version>/design/<board>/src/constraints/sourceConstraint files (XDC or TCL) for SoM, Base Board and/or Product
<version>/design/<board>/src/scripts/sourceScript files for SoM, Base Board and/or Product
<version>/design/<board>/src/board/sourceLocal copy of Vivado Board Files
<version>/design/<board>/<proj_name>/src/scripts/init_proc.tclsourcesourced by init.tcl with -notrace
<version>/design/<board>/<proj_name>/src/ipi/bd.tclsourceTCL script to recreate the IPI Block Diagram
<version>/design/<board>/<proj_name>/src/ip/sourceProject local IP Repository Path
<version>/design/<board>/<proj_name>/src/constraints/sourceConstraint files (XDC or TCL) for Project
<version>/design/<board>/<proj_name>/src/sw/ Software
<version>/design/<board>/<proj_name>/src/sw/linux Petalinux Config and source files
<version>/design/<board>/<proj_name>/pre-built Pre built binary files
<version>/design/<board>/<proj_name>/proj/generatedGenerated Vivado project, after run the make_project.bat file
<version>/design/<board>/<proj_name>/out/generatedGenerated Bitstream, after run the run_one.bat or run_all.bat file
<version>/design/<board>/<proj_name>/*.tclsourceScript files for project
<version>/design/<board>/<proj_name>/*.batsourceBat file for project creation, project run without vivado GUI, or program FPGA etc.
<version>/ip/sourceLocal IP Core Catalog
  • No labels