You are viewing an old version of this page. View the current version.

Compare with Current View Page History

« Previous Version 15 Next »

 

 

File or DirectoryTypeDescription
<design_name>/board_files/sourceLocal copy of board part files
<design_name>/Scripts/sourceTCL scripts to build and/or create the project
<design_name>/Constraints/sourceConstraints
<design_name>/Doc/sourceDocumentation
<design_name>/IP/sourceLocal IP repository
<design_name>/IPI-BDs/sourceScripts for IPI Block Diagrams
<design_name>/hardware/prebuiltprebuiltprebuilt hardware files HDF, BIT, etc
<design_name>/vivado/work, generatedWorking directory where Vivado project is created
<design_name>/vivado/<design_name>.xprgeneratedVivado Project File

 

Checklist

  1. Are you using exactly the same Vivado version? If not then the scripts will not work, no need to try.
  2. Do you run the script from Vivado console? Yes, they may work from Vivado GUI TCL prompt also, but they are tested only from pure Vivado TCL console prompt.
  3. Ary you using Vivado in Windows PC? Yes, Vivado works in Linux also, but the scripts are tested on Windows only.
  4. Is you PC OS Installation English? Yes, Vivado may work on national versions also, but there have been known problems,

References

  1. Xilinx User Guide UG949
  2. Revision Control use guide from Vivado User Club 2015 Stuttgart
  3. SDSoC platform builder file structure
  • No labels