You are viewing an old version of this page. View the current version.

Compare with Current View Page History

« Previous Version 23 Next »

 

Last supported Release

Type or FileVersion
Vivado Design Suite2015.4
Trenz Project Scripts2015.4.08
Trenz <board_series>_board_files.csv1.2
Trenz apps_list.csv

1.5


Directory structure

File or DirectoryTypeDescription
<design_name>base directoryBase directory with predefined batch files (*.cmd) to generate or open VIVADO-Project
<design_name>/block_design/sourceScript to generate Block Design in Vivado (*_bd.tcl) 
<design_name>/board_files/sourceLocal board part files repository and a list of available board part files  (<board_series>_board_files.csv)
<design_name>/constraints/sourceProject constrains (*.xdc)
<design_name>/doc/sourceDocumentation
<design_name>/ip_lib/sourceLocal Vivado IP repository
<design_name>/misc/source(Optional) Directory with additional sources
<design_name>/prebuilt/boot_images/prebuiltDirectory with prebuild boot images (*.bin) and configuration files (*.bif) included in subfolders: default or <board_file_shortname>/<app_name>
<design_name>/prebuilt/hardware/prebuiltDirectory with prebuild hardware sources (*.bit, *hdf, *.mcs) and reports included in subfolders: default or <board_file_shortname>
<design_name>/prebuilt/software/prebuilt(Optional) Directory with prebuild software sources (*.elf) included in subfolders: default or <board_file_shortname>/<app_name>
<design_name>/prebuilt/os/prebuilt(Optional) Directory with supported OS sources
<design_name>/scripts/sourceTCL scripts to build a project
<design_name>/software/source(Optional) Directory with additional software
<design_name>/sw_lib/source(Optional) Directory with local SDK/HSI software IP repository and a list of available software (apps_list.csv)
<design_name>/v_log/generatedTemporary directory with vivado log files (used only when vivado is started with predefined command files (*.cmd) from base folder otherwise this logs will be writen into the vivado working directory)
<design_name>/vivado/work, generatedWorking directory where Vivado project is created. Vivado project file is <design_name>.xpr
<design_name>/workspace/work, generated(Optional) Directory where hsi project is created

 

Windows Command Files

File NameDescription
clear_project.cmd!Delete "<design_name>/v_log/", "<design_name>/vivado/", and "<design_name>/workspace/" directory with related documents!
create_project_firstgui.cmdCreate Project with setting from "start_settings.cmd" and source folders. Vivado GUI will be opened during the process.
Delete "<design_name>/v_log/", "<design_name>/vivado/", and "<design_name>/workspace/" directory with related documents before Projekt will created.
create_project_nogui.cmd

Create Project with setting from "start_settings.cmd" and source folders.

Delete "<design_name>/v_log/", "<design_name>/vivado/", and "<design_name>/workspace/" directory with related documents before Projekt will created.

open_project_gui.cmdOpens an existing Project "<design_name>/vivado/<design_name>.xpr". Delete old "<design_name>/v_log/" before Projekt will opend.
program_zynqflash.cmd

(optional) For Zynq Systems only. Programming Flash Memory with specified Boot.bin. (Same as SDK  "Programm Flash"). Used Boot.bin from prebuilt-Folder. It used the boot.bin from: <design_name>/prebuilt/boot_images/<board_file_shortname>/<app_name>. Set <board_file_shortname> and <app_name> in "start_settings.cmd".

run_project_nogui.cmd

Create Project with setting from "start_settings.cmd" and source folders. Build all Vivado hardware and software files if the sources are available.

Delete  "<design_name>/vivado/", and "<design_name>/workspace/hsi/" directory with related documents before Projekt will created.

start_settings.cmd

Settings for the other *.cmd files. Following Settings are avaliable:

  • General Setting:
    • (optional) Zip Path: Set Path to installed Zip-Programm. Currently 7-Zip are supported. IUsed for predefined TCL-function to Backup project.
    • XILDIR: Set Path to the Xilinx Directory (Default: c:\Xilinx).
    • VIVADO_Version: Used to get correct path of Vivado. Is the name of the Vivado Installation in %XILDIR%\vivado\  (Example:2015.4). Don't change Vivado Version.
  • Vivado Project Settings:
    • PARTNUMBER: Select Board part number of the project which should be created. All available Boards are listed in <design_name>/board_files/TExxxx_board_file.csv. You can use  ID,PRODID,BOARDNAME or SHORTNAME from this list.
  • Programming Settings(program_zynqflash.cmd):
    • PROGRAM_BOARDPART: Select Board part number , which should be configured. All available Boards are listed in <design_name>/board_files/TExxxx_board_file.csv. You can use  ID,PRODID,BOARDNAME or SHORTNAME from this list.
    • PROGRAM_SWAPP: Select the Software App which should be configured. Use <app_name> from <design_name>/prebuilt/boot_images/<board_file_shortname>/

 

Additional TCL-Functions in Vivado

NameOptionsDescription
   
   

 

 

Checklist

  1. Are you using exactly the same Vivado version? If not then the scripts will not work, no need to try.
  2. Do you run the script from Vivado console? They may work from Vivado GUI TCL prompt also, but they are tested only from pure Vivado TCL console prompt.
  3. Ary you using Vivado in Windows PC? Vivado works in Linux also, but the scripts are tested on Windows only.
  4. Is you PC OS Installation English? Vivado may work on national versions also, but there have been known problems,

References

  1. Xilinx User Guide UG949
  2. Revision Control use guide from Vivado User Club 2015 Stuttgart
  3. SDSoC platform builder file structure
  • No labels