Overview


This tutorial guides you from inital Starterkit reference design for TE0803 SoM to custom extensible vitis platfom and then shows how to implement and run basic VADD example and Vitis-AI 2.0 dpu_trd example (ResNet50).

Key Features


  • Xilinx 2021.2 tools, Vivado 2021.2.1
  • Vitis AI 2.0
  • Vitis custom extensible platform
  • Vector addition
  • ResNet50 on DPU

Requirements


TypeNameVersionNote
HWTE0803 Module----
HWTEBF0808 Carrier----
Diverse CableUSB, Power...----
Virtual MaschineOracle, VMWare or MS WSL--optional
OSLinuxXilinx Supported OS running on VM or native
Reference DesignTE0803-StarterKit-vivado_2021.2-*.zipbuild 18 or higher to match Vivado 2021.2.1Tutorial was created and tested with:
SWVitis2021.2--
SWVivado2021.2.1Vivado patch to 2021.2.1 is required by reference design package build 18 or higher
SWPetalinux2021.2--
SWPutty----
Repo

Vitis-AI

2.0https://github.com/Xilinx/Vitis-AI/tree/2.0


Prepare Development Environment

Virtual Machine


On Win10 Pro PC, you can use:

The presented extendible platform has been created on: Windows 10 Pro, ver. 21H2 OS build 19044.1889, VMware Workstation 16 Player (Version 16.2.4 build-20089737), Ubuntu 20.04 LTS Desktop 64-bit PC (AMD64)
https://linuxconfig.org/Ubuntu-20-04-download  


Vitis/Vivado 2021.2 and creation of the extendible platform from ZIP archive has been also tested on:
Windows 11 Pro PC (upgrade from Windows 10 Pro, ver. 21H2 OS build 19044.1889)
VMware Workstation 16 Player (Version 16.2.4 build-20089737),
Ubuntu 20.04 LTS Desktop 64-bit PC (AMD64).
https://linuxconfig.org/Ubuntu-20-04-download

Linux OS


Only supported OS are selected Linux distributions. You will need either native or virtual PC with Linux distribution.

Create new VM with Linux OS supported by Vitis 2021.2 tools.

Use English as OS language for your Linux System. Keyboard language can be any language.
Other languages may cause errors in PetaLinux build process.

Set Language


In Ubuntu 20.04, open terminal and type command:

$ locale

Language is OK, if the command response starts with:

LANG=en_US.UTF-8

Set Bash as Terminal in Ubuntu


In Ubuntu, set bash as terminal.

$ sudo dpkg-reconfigure dash shell


select:  no

Use of bash shell is required by Xilinx tools.

The Ubuntu 20.04 LTS terminal (selected as default installation) is dash.

 

Install OpenCL Client Drivers


On Ubuntu, install OpenCL Installable Client Driver Loader by executing:

$ sudo apt-get install ocl-icd-libopencl1
$ sudo apt-get install opencl-headers
$ sudo apt-get install ocl-icd-opencl-dev

Software Installation


Vitis 2021.2


Download  Vitis

Download the Vitis Tools installer from the link below https://www.xilinx.com/support/download.html

Install Vitis

If Vitis 2021.2 is not installed, follow installation steps described in:

https://docs.xilinx.com/r/en-US/ug1393-vitis-application-acceleration/Vitis-Software-Platform-Installation

After a successful installation of the Vitis 2021.2 and Vivado 2021.2 in /tools directory, a confirmation message is displayed, with a prompt to run the installLibs.sh script.

Script location:
/tools/Vitis/2021.2/scripts/installLibs.sh

In Ubuntu terminal, change directory to /tools/Vitis/2021.2/script  and run the script using sudo privileges:

$ sudo installLibs.sh

The command installs a number of necessary packages for the Vitis 2021.2 tools based on the actual OS version of your Ubuntu system.

Install  y2k22_patch-1.2 to Vitis

If not applied before, apply the Xilinx y2k22_patch-1.2 to Vitis 2021.2 https://support.xilinx.com/s/article/76960?language=en_US

Install License Supporting Vivado

In Ubuntu terminal, source paths to Vivado tools by executing

$ source /tools/Xilinx/Vitis/2021.2/settings64.sh

Execute Vivado License Manager:

$ vlm

From vlm, login to your Xilinx account by an www browser.

In www browser, specify Vitis 2021.2 license. Select Linux target.

Download xilinx license file and copy it into the directory of your choice.
~/License/vitis_2021_2/Xilinx.lic

In vlm, select Load License -> Copy License

Putty


The putty terminal can be used for Ethernet connected terminal. Putty supports keyboard, mouse and forwarding of X11 for Zynq Ultrascale+ applications designed for X11 desktop GUI.

In Ubuntu terminal, execute:

$ sudo apt install putty



To test the installation, execute putty application from Ubuntu terminal by:

$ putty &

Exit from putty.

Petalinux 2021.2


Download  Petalinux

Download the PetaLinux Tools installer from the link below https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/embedded-design-tools.html

Install Required Libraries

Install Petalinux 2021.2. Follow guideline described in:
https://wiki.trenz-electronic.de/display/PD/PetaLinux+KICKstart#PetaLinuxKICKstart-PetaLinux2021.2

Before PetaLinux installation, check UG1144 chapter "PetaLinux Tools Installation Requirements" and install missing tool/libraries with help of script plnx-env-setup.sh attached to the Xilinx Answer Record 73296 - PetaLinux: How to install the required packages for the PetaLinux Build Host?
https://www.xilinx.com/support/answers/73296.html

Use this page to download script: plnx-env-setup.sh

The script detects whether the Host OS is a Ubuntu, RHEL, or CentOS Linux distribution and then automatically installs all of the required packages for the PetaLinux Build Host.

The script requires root privileges. The script does not install the PetaLinux Tools. Command to run the script:

$ sudo ./plnx-env-setup.sh

Perform update of your PetaLinux and additional installation libraries.

$ sudo apt-get update
$ sudo apt-get install iproute2 gawk python3 python build-essential gcc git make net-tools libncurses5-dev tftpd zlib1g-dev libssl-dev flex bison libselinux1 gnupg wget git-core diffstat chrpath socat xterm autoconf libtool tar unzip texinfo zlib1g-dev gcc-multilib automake zlib1g:i386 screen pax gzip cpio python3-pip python3-pexpect xz-utils debianutils iputils-ping python3-git python3-jinja2 libegl1-mesa libsdl1.2-dev pylint3 -y
Install Petalinux

and follow the directions in the "Installing the PetaLinux Tool" section of (UG1144).
https://www.xilinx.com/support/documentation/sw_manuals/xilinx2020_1/ug1144-petalinux-tools-reference-guide.pdf

To install petalinux do not start from shared folder, copy installer into your home directory.

$ mkdir -p ~/petalinux/2021.2



Copy  petalinux-v2021.2-final-installer.run into  ~/petalinux/2021.2

$ ./petalinux-v2020.2-final-installer.run

Source environment

$ source ~/petalinux/2021.2/settings.sh

Prepare Reference Design for Extensible Custom Platform


Update Vivado Project for Extensible Platform


Trenz Electronic Scripts allows posibility change some setup via enviroment variables, which depends on the used OS and PC performace.

To improve performance on multicore CPU add global envirment on line 64:
export TE_RUNNING_JOBS=10

to  /etc/bash.bashrc or local to design_basic_settings.sh

For othervariables see also:

Project Delivery - Xilinx devices#EnvironmentVariables

In Ubuntu terminal, source paths to Vitis and Vivado tools by

$ source /tools/Xilinx/Vitis/2021.2/settings64.sh

Download TE0803 StarterKit Linux Design file(see Reference Design download link on chapter Requirements) with pre-build files to

 ~/Downloads/TE0803-StarterKit-vivado_2021.2-build_18_20221017093105.zip  

This TE0803 StarterKit ZIP file contains bring-up scripts for creation of Petalinux for range of modules in zipped directory named “StarterKit”.

Unzip the file to directory:
~/work/TE0803_24_240

All supported modules are identified in file: ~/work/TE0803_24_240/StarterKit/board_files/TE0803_board_files.csv

We will select module 24 with name TE0803-02-04EV-1EA, with device xczu04ev-sfvc784-1-e on TEBF0808 carrier board. We will use default clock 240 MHz.
That is why we name the package TE0803_24_240 and proposed to unzip the TE0803 StarterKit Linux Design files into the directory:
~/work/TE0803_24_240

In Ubuntu terminal, change directory to the StarterKit directory:

$ cd ~/work/TE0803_24_240/StarterKit

Setup the StarterKit directory files for a Linux host machine.
In Ubuntu terminal, execute:

$ chmod ugo+rwx ./console/base_sh/*.sh
$ chmod ugo+rwx ./_create_linux_setup.sh
$ ./_create_linux_setup.sh

Select option (0) to open Selection Guide and press Enter

Select variant 24 from the selection guide, press enter and agree selection

Create Vivado Project with option 1

Vivado Project will be generated for the selected variant.

Selection Guide automatically modified ./design_basic_settings.sh with correct variant, so other provided bash files to recreate or open Vivado project again can be used later also.

In case of using selection guide, variant can be selected also manually:

Select option (2) to create maximum setup of CMD-Files and exit the script (by typing any key).

It moves main design bash scripts to the top of the StarterKit directory. Set these files as executable, from the Ubuntu terminal:

$ chmod ugo+rwx *.sh

In text editor, open file
~/work/TE0803_24_240/StarterKit/design_basic_settings.sh

On line 63, change
export PARTNUMBER=LAST_ID
to
export PARTNUMBER=24

To improve performance on multicore CPU add on line 64:
export TE_RUNNING_JOBS=10

Vivado will be utilizing up to 10 parallel logical processor cores with this setup
instead of the default of 2 parallel logical processor cores.

Save the modified file.

This modification will guide the Trenz TE0803 StarterKit Linux Design scripts to generate Vivado HW for the module 24 with name TE0803-02-04EV-1EA, with device xczu04ev-sfvc784-1-e on TEBF0808 carrier board.

In Ubuntu terminal, change directory to
~/work/TE0803_24_240/StarterKit

The Vivado tool will be opened and Trenz Electronic HW project for the TE0803 StarterKit Linux Design, part 24 will be generated  by running this script:

$ ./vivado_create_project_guimode.sh

The Vivado tool will be opened and Trenz Electronic HW project for the TE0803 StarterKit Linux Design, part 24 will be generated.

In Vivado window Sources, click on zusys_wrapper and next on zusys.bd to open the HW diagram in IP integrator:

It is possible to display diagram in separate window by clicking on float icon in upper right corner of the diagram.

Zynq Ultrascale+ block is configured for the Trenz TE0803 StarterKit Linux Design on the TEBF0808 carrier board.

This is starting point for the standard PetaLinux system supported by Trenz with steps for generation of the PetaLinux system. Parameters of this system and compilation steps are described on Trenz Wiki pages:
https://wiki.trenz-electronic.de/display/PD/TE0803+StarterKit

Follow steps described in these wiki pages if you would like to create fixed, not extensible Vitis platform.

The Extensible Vitis platform generation steps are described in next paragraphs.

Create Extensible Vitis platform


To implement hardware this tutorial offers two alternatives: Fast Track or Manual Track:

  • Choose Fast Track to use TCL script to do the same modifications as in manual track case automatically,
  • Select Manual Track path if you want to see all required hardware modifications required for custom platform.
Fast Track

Block Design of the Vivado project must be opened for this step. Copy following TCL Code to the TCL comand console of Vivado:

TCL Script to prepare Extensible Vitits Platform
#activate extensible platform
set_property platform.extensible true [current_project]
save_bd_design

set_property PFM_NAME [string map {part0 zusys} [string map {trenz.biz trenz} [current_board_part]]] [get_files zusys.bd]
set_property platform.design_intent.embedded {true} [current_project]
set_property platform.design_intent.datacenter {false} [current_project]
set_property platform.design_intent.server_managed {false} [current_project]
set_property platform.design_intent.external_host {false} [current_project]
set_property platform.default_output_type {sd_card} [current_project]
set_property platform.uses_pr {false} [current_project] 
save_bd_design

#add clocking wizard
startgroup
create_bd_cell -type ip -vlnv xilinx.com:ip:clk_wiz:6.0 clk_wiz_0
endgroup

#clocking wizard config
set_property -dict [list CONFIG.CLKOUT2_USED {true} CONFIG.CLKOUT3_USED {true} CONFIG.CLKOUT4_USED {true} CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {200.000} CONFIG.CLKOUT3_REQUESTED_OUT_FREQ {400.000} CONFIG.CLKOUT4_REQUESTED_OUT_FREQ {240.000} CONFIG.RESET_TYPE {ACTIVE_LOW} CONFIG.MMCM_CLKOUT1_DIVIDE {6} CONFIG.MMCM_CLKOUT2_DIVIDE {3} CONFIG.MMCM_CLKOUT3_DIVIDE {5} CONFIG.NUM_OUT_CLKS {4} CONFIG.RESET_PORT {resetn} CONFIG.CLKOUT2_JITTER {102.086} CONFIG.CLKOUT2_PHASE_ERROR {87.180} CONFIG.CLKOUT3_JITTER {90.074} CONFIG.CLKOUT3_PHASE_ERROR {87.180} CONFIG.CLKOUT4_JITTER {98.767} CONFIG.CLKOUT4_PHASE_ERROR {87.180}] [get_bd_cells clk_wiz_0]

#connect clocking wizard inputs
connect_bd_net [get_bd_pins clk_wiz_0/resetn] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0]
connect_bd_net [get_bd_pins clk_wiz_0/clk_in1] [get_bd_pins zynq_ultra_ps_e_0/pl_clk0]

#add reset cores
startgroup
create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_1
create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_2
create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_3
create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_4
endgroup

#connect reset cores
connect_bd_net [get_bd_pins clk_wiz_0/clk_out1] [get_bd_pins proc_sys_reset_1/slowest_sync_clk]
connect_bd_net [get_bd_pins clk_wiz_0/clk_out2] [get_bd_pins proc_sys_reset_2/slowest_sync_clk]
connect_bd_net [get_bd_pins clk_wiz_0/clk_out3] [get_bd_pins proc_sys_reset_3/slowest_sync_clk]
connect_bd_net [get_bd_pins clk_wiz_0/clk_out4] [get_bd_pins proc_sys_reset_4/slowest_sync_clk]
connect_bd_net [get_bd_pins clk_wiz_0/locked] [get_bd_pins proc_sys_reset_1/dcm_locked]
connect_bd_net [get_bd_pins clk_wiz_0/locked] [get_bd_pins proc_sys_reset_2/dcm_locked]
connect_bd_net [get_bd_pins proc_sys_reset_3/dcm_locked] [get_bd_pins clk_wiz_0/locked]
connect_bd_net [get_bd_pins proc_sys_reset_4/dcm_locked] [get_bd_pins clk_wiz_0/locked]
connect_bd_net [get_bd_pins proc_sys_reset_1/ext_reset_in] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0]
connect_bd_net [get_bd_pins proc_sys_reset_2/ext_reset_in] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0]
connect_bd_net [get_bd_pins proc_sys_reset_3/ext_reset_in] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0]
connect_bd_net [get_bd_pins proc_sys_reset_4/ext_reset_in] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0]

# add clocks to platform
set_property PFM.CLOCK {clk_out1 {id "1" is_default "false" proc_sys_reset "/proc_sys_reset_1" status "fixed" freq_hz "100000000"} clk_out2 {id "2" is_default "false" proc_sys_reset "/proc_sys_reset_2" status "fixed" freq_hz "200000000"} clk_out3 {id "3" is_default "false" proc_sys_reset "/proc_sys_reset_3" status "fixed" freq_hz "400000000"} clk_out4 {id "4" is_default "true" proc_sys_reset "/proc_sys_reset_4" status "fixed" freq_hz "240000000"}} [get_bd_cells /clk_wiz_0]

# prepare LPD interface for 240MHz for interrupt controller
disconnect_bd_net /zynq_ultra_ps_e_0_pl_clk1 [get_bd_pins zynq_ultra_ps_e_0/maxihpm0_lpd_aclk]
connect_bd_net [get_bd_pins clk_wiz_0/clk_out4] [get_bd_pins zynq_ultra_ps_e_0/maxihpm0_lpd_aclk]

#add interrupt core
startgroup
create_bd_cell -type ip -vlnv xilinx.com:ip:axi_intc:4.1 axi_intc_0
endgroup

#config interrupt core
set_property -dict [list CONFIG.C_KIND_OF_INTR.VALUE_SRC USER] [get_bd_cells axi_intc_0]
set_property -dict [list CONFIG.C_KIND_OF_INTR {0x00000000} CONFIG.C_IRQ_CONNECTION {1}] [get_bd_cells axi_intc_0]

#connect interrupt core
connect_bd_net [get_bd_pins axi_intc_0/s_axi_aclk] [get_bd_pins clk_wiz_0/clk_out4]
connect_bd_net [get_bd_pins axi_intc_0/s_axi_aresetn] [get_bd_pins proc_sys_reset_4/peripheral_aresetn]

startgroup
create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0
endgroup
set_property -dict [list CONFIG.NUM_MI {1}] [get_bd_cells axi_interconnect_0]
connect_bd_net [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins clk_wiz_0/clk_out4]
connect_bd_net [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins proc_sys_reset_4/peripheral_aresetn]
connect_bd_net [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins proc_sys_reset_4/interconnect_aresetn]
connect_bd_net [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins proc_sys_reset_4/interconnect_aresetn]
connect_bd_net [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins clk_wiz_0/clk_out4]
connect_bd_net [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins clk_wiz_0/clk_out4]

connect_bd_intf_net [get_bd_intf_pins zynq_ultra_ps_e_0/M_AXI_HPM0_LPD] -boundary_type upper [get_bd_intf_pins axi_interconnect_0/S00_AXI]
connect_bd_intf_net -boundary_type upper [get_bd_intf_pins axi_interconnect_0/M00_AXI] [get_bd_intf_pins axi_intc_0/s_axi]

#rename interconnect
set_property name ps8_0_axi_periph [get_bd_cells axi_interconnect_0]

#add zynqUS interrupt inputs and connect intr IP core
startgroup
set_property -dict [list CONFIG.PSU__USE__IRQ0 {1}] [get_bd_cells zynq_ultra_ps_e_0]
endgroup
connect_bd_net [get_bd_pins axi_intc_0/irq] [get_bd_pins zynq_ultra_ps_e_0/pl_ps_irq0]

# add interrputs to platform
set_property PFM.IRQ {intr { id 0 range 32 }} [get_bd_cells /axi_intc_0]

# add axi buses to platform
set_property PFM.AXI_PORT {M_AXI_HPM0_FPD {memport "M_AXI_GP" sptag "GP0" memory "" is_range "false"} M_AXI_HPM1_FPD {memport "M_AXI_GP" sptag "GP1" memory "" is_range "false"} S_AXI_HPC0_FPD {memport "S_AXI_HP" sptag "HPC0" memory "" is_range "false"} S_AXI_HPC1_FPD {memport "S_AXI_HP" sptag "HPC1" memory "" is_range "false"} S_AXI_HP0_FPD {memport "S_AXI_HP" sptag "HP0" memory "" is_range "false"} S_AXI_HP1_FPD {memport "S_AXI_HP" sptag "HP1" memory "" is_range "false"} S_AXI_HP2_FPD {memport "S_AXI_HP" sptag "HP2" memory "" is_range "false"} S_AXI_HP3_FPD {memport "S_AXI_HP" sptag "HP3" memory "" is_range "false"}} [get_bd_cells /zynq_ultra_ps_e_0]

#add interconnect ports to platform
set_property PFM.AXI_PORT {M01_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M02_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M03_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M04_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M05_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M06_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"} M07_AXI {memport "M_AXI_GP" sptag "" memory "" is_range "false"}} [get_bd_cells /ps8_0_axi_periph]

# add addresses to unmapped peripherals
assign_bd_address

#save
save_bd_design

#save project XPR name
global proj_xpr
set proj_xpr [current_project]
append proj_xpr .xpr

#close project
close_project

# reopen project
open_project $proj_xpr

# open block design
open_bd_design [current_project].srcs/sources_1/bd/zusys/zusys.bd

#validate
#validate_bd_design

This script modifies the Initial platform Block design into the Extensible platform Block design and also defines define Platform Setup configuration.

In Vivado, open the design explorer and Platform description.
The fast track result is identical to the manually performed modifications described in next sections. In Vivado, save block design by clicking on icon “Save Block Design”.

Continue the design path with Validate Design.

Manual Track

In Vivado project, click in Flow Navigator on Settings. In opened Settings window, select General in Project Settings, select Project is an extensible Vitis platform. Click on OK.

IP Integrator of project set up as an extensible Vitis platform has an additional Platform Setup window.

Add multiple clocks and processor system reset IPs
In IP Integrator Diagram Window, right click, select Add IP and add Clocking Wizard IP clk_wiz_0. Double-click on the IP to Re-customize IP window.  Select Output Clocks panel. Select four clocks with frequency 100, 200, 400 and 240 MHz.
100 MHz clock will serve as low speed clock.
200 MHz and 400 MHz clock will serve as clock for possible AI engine.
240 MHz clock will serve as the default extensible platform clock. By default, Vitis will compile HW IPs with this default clock. 

Set reset type from the default Active High to Active Low

Clik on OK to close the Re-customize IP window.

Connect input resetn of clk_wiz_0 with output pl_resetn0 of zynq_ultra_ps_e_0.
Connect input clk_in1 of clk_wiz_0 with output pl_clk0 of zynq_ultra_ps_e_0.

Add and connect four Processor System Reset blocks for each generated clock.

Open Platform Setup window of IP Integrator to define Clocks. In Settings, select Clock.

In “Enabled” column select all four defined clocks clk_out1, clk_out2, clk_out3, clk_out4 of clk_wiz_0 block.

In “ID” column keep the default Clock ID: 1, 2, 3, 4

In “Is Default” column, select clk_out4 (with ID=4) as the default clock.  One and only one clock must be selected as default clock.

Disconnect input pin maxihpm0_lpd_aclk of zynq_ultra_ps_e_0 from the 100 MHz clock net. This net is driven by clock output pl_clk0 of zynq_ultra_ps_e_0.

Connect input pin maxihpm0_lpd_aclk of zynq_ultra_ps_e_0 to the 240 MHz clk_out4 of clk_wiz_0 IP block.

These two modifications are made to support the axi-lite interface of an interrupt controller operating at 240 MHz clock, identical with the default extendable platform clock.

Add, customize and connect the AXI Interrupt Controller
Add AXI Interrupt Controller IP axi_intc_0.
Double-click on axi_intc_0 to re-customize it.

In “Processor Interrupt Type and Connection” section select the “Interrupt Output Connection” from “Bus” to “Single”.

In “Peripherial Interrupt Type” section, change the “Interrupts Types Edge or Level” from AUTO to MANUAL.  Change the corresponding value from 0xFFFFFFFF to 0x00000000.

Click on OK to accept these changes.

This re-configuration is manually setting all interrupts as level interrupts. With this setting, the PetaLinux automatically creates correct description of the interrupt controller in the device tree.
The Vitis extensible flow generates HW IP blocks with level interrupts.


In case of user defined edge interrupts, the corresponding interrupt description will be added in an customised, interrupt controller description section of the user-defined device tree file
~/work/TE0803_24_240/StarterKit/os/petalinux/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi
For the default extensible TE0803_24_20_pfm platform it is not needed.


Connect interrupt controller clock input s_axi_aclk of axi_intc_0 to clock output dlk_out4 of clk_wiz_0. It is the default, 240 MHz clock of the extensible platform.

Connect interrupt controller input s_axi_aresetn of axi_intc_0 to output peripheral_aresetn[0:0] of proc_sys_reset_4 . It is the reset block for default, 240 MHz clock of the extensible platform.

Use the Run Connection Automation wizard to connect the axi lite interface of interrupt controller axi_intc_0 to zynq_ultra_ps_e_0. It is available in green line in top of the Diagram window.

In Run Connection Automaton window, click OK.

New AXI interconnect ps_8_axi_periph is created and related connections are generated.

Vitis extensible design flow will be expanding the AXI interconnect ps_8_axi_periph for interfacing and configuration of registers of generated HW IP blocks with the default extensible platform clock 240 MHz.

Modify the automatically generated reset network of AXI interconnect ps_8_axi_periph IP.

Disconnect input S00_ARESETN of ps_8_axi_periph from the network driven by output peripherial_aresetn[0:0] of proc_sys_reset_4 block.

Connect input S00_ARESETN of ps_8_axi_periph block with output interconnect_aresetn[0:0] of proc_sys_reset_4 block.

Disconnect input M00_ARESETN of ps_8_axi_periph block from the network driven by output peripherial_aresetn[0:0] of proc_sys_reset_4 block.

Connect input M00_ARESETN of ps_8_axi_periph to output interconnect_aresetn[0:0] of proc_sys_reset_4 block.

This modification will make the reset structure of the AXI interconnect ps_8_axi_periph block identical to the future extensions generated by the Vitis extensible design flow.

Double-click on zynq_ultra_ps_e_0 to re-customize it by enabling of an interrupt input pl_ps_irq0[0:0]. Click OK.

Connect the interrupt input pl_ps_irq0[0:0] of zynq_ultra_ps_e_0 block with output irq of axi_intc_0 block.

In Platform Setup, select “Interrupt” and enable intr in the “Enabled” column.

In Platform Setup, select AXI Port for zynq_ultra_ps_e_0:

Select M_AXI_HPM0_FPD and M_AXI_HPM1_FPD in column “Enabled”.

Select S_AXI_HPC0_FPD and S_AXI_HPC1_FPD in column “Enabled”.

For S_AXI_HPC0_FPD, change S_AXI_HPC to S_AXI_HP in column “Memport”.

For S_AXI_HPC1_FPD, change S_AXI_HPC to S_AXI_HP in column “Memport”.

Select S_AXI_HP0_FPD, S_AXI_HP1_FPD, S_AXI_HP2_FPD, S_AXI_HP3_FPD in column “Enabled”.

Type into the “sptag” column the names for these 6 interfaces so that they can be selected by v++ configuration during linking phase. HPC0HPC1HP0HP1HP2HP3

In “Platform Setup”, select AXI Ports for ps8_0_axi_periph:

Select M01_AXI, M02_AXI, M03_AXI, M04_AXI, M05_AXI, M06_AXI and M07_AXI in column “Enabled”.

The modifications of the default design for the extensible platform are completed, now.

In Vivado, save block design by clicking on icon “Save Block Design”.

Continue the design path with Validate Design.

Validate Design


Results of HW creation via Manual Track or Fast Track are identical.

Open diagram by clicking on zusys.bd if not already open.
In Diagram window, validate design by clicking on “Validate Design” icon.

Received Critical Messages window indicates that input intr[0:0] of axi_intc_0 is not connected. This is expected. The Vitis extensible design flow will connect this input to interrupt outputs from generated HW IPs.

 Click OK.

Known Issue: Sometimes an error in validation process may occur reporting create_pfm function is not known. Workaroud is to close vivado tool and reopen again to correclty load platform export API.

You can generate pdf of the block diagram by clicking to any place in diagram window and selecting “Save as PDF File”. Use the offered default file name:
~/work/TE0803_24_240/StarterKit/vivado/zusys.pdf

Compile Created HW and Custom SW with Trenz Scripts


In Vivado Tcl Console, type following script and execute it by Enter. It will take some time to compile HW. HW design and to export the corresponding standard XSA package with included bitstream.

TE::hw_build_design -export_prebuilt

An archive for standard non-extensible system is created:
~/work/TE0803_24_240/StarterKit/vivado/StarterKit_4ev_2gb.xsa

In Vivado Tcl Console, type the following script and execute it by Enter. It will take some time to compile.

TE::sw_run_vitis -all

After the script controlling SW compilation is finished, the Vitis SDK GUI is opened.

Close the Vitis “Welcome” page.
Compile the two included SW projects.
Standalone custom Vitis platform TE0803-02-04EV-1EA has been created and compiled. 

The TE0803-02-04EV-1EA Vitis platform includes Trenz Electronic custom first stage boot loader in folder zynqmp_fsbl. It includes SW extension specific for the Trenz module initialisation.

This custom zynqmp_fsbl project has been compiled into executable file fsbl.elf.  It is located in: ~/work/TE0803_24_240/StarterKit/prebuilt/software/4ev_2gb/fsbl.elf

This customised first stage boot loader is needed for the Vitis extensible platform.
We have used the standard Trenz scripts to generate it for next use in the extensible platform.

Exit the opened Vitis SDK project.

In Vivado top menu select File->Close Project to close project. Click OK.

In Vivado top menu select File->Exit to close Vivado. Click OK.

The exported Vitis Extensible Hardware platform named StarterKit_4ev_2gb.xsa can be found in the vivado folder.

Copy Created Custom First Stage Boot Loader


Up to now, StarterKit directory has been used for all development.
~/work/TE0803_24_240/StarterKit

Create new folders:
~/work/TE0803_24_240/StarterKit_pfm/pfm/boot
~/work/TE0803_24_240/StarterKit_pfm/pfm/sd_dir

Copy the recently created custom first stage boot loader executable file from
~/work/TE0803_24_240/StarterKit/prebuilt/software/4ev_2gb/fsbl.elf
to
~/work/TE0803_24_240/StarterKit_pfm/pfm/boot/fsbl.elf

Building Platform OS and SDK


Configuration of the Default Trenz Petalinux for the Vitis Extensible Platform


Change directory to the default Trenz Petalinux folder
~/work/TE0803_24_240/StarterKit/os/petalinux

Source Vitis and Petalinux scripts to set environment for access to Vitis and PetaLinux tools.

$ source /tools/Xilinx/Vitis/2021.2/settings64.sh
$ source ~/petalinux/2021.2/settings.sh

Configure petalinux with the StarterKit_4ev_2gb.xsa for the extensible design flow by executing:

$ petalinux-config --get-hw-description=~/work/TE0803_24_240/StarterKit/vivado


Select Exit->Yes to close this window.

Customize Root File System, Kernel, Device Tree and U-boot


In text editor, append definition of 32 interrupts by this text:

&amba {	zyxclmm_drm {
		compatible = "xlnx,zocl";
		status = "okay";
		reg = <0x0 0xA0000000 0x0 0x10000>;
		interrupt-parent = <&axi_intc_0>;
		interrupts = <0  4>, <1  4>, <2  4>, <3  4>,
			     <4  4>, <5  4>, <6  4>, <7  4>,
			     <8  4>, <9  4>, <10 4>, <11 4>,
			     <12 4>, <13 4>, <14 4>, <15 4>,
			     <16 4>, <17 4>, <18 4>, <19 4>,
			     <20 4>, <21 4>, <22 4>, <23 4>,
			     <24 4>, <25 4>, <26 4>, <27 4>,
			     <28 4>, <29 4>, <30 4>, <31 4>;
	};
};

to the system-user.dtsi file located in folder:
~/work/TE0803_24_240/StarterKit/os/petalinux/project-spec/meta-user/recipes-bsp/device-tree/files/

Download the Vitis-AI 2.0 repository.
In browser, open page:

https://github.com/Xilinx/Vitis-AI/tree/2.0

Clik on green Code button and download Vitis-AI-2.0.zip file.
Unzip Vitis-AI-2.0.zip file to directory ~/Downloads/Vitis-AI.

Copy ~/Downloads/Vitis-AI to  ~/vitis_ai_2_0 

Delete Vitis-AI-2.0.zip, delete ~/Downloads/Vitis-AI, clean trash.

The directory ~/vitis_ai_2_0 contains the Vitis-AI 2.0 framework, now.

To install the Vitis-AI 2.0 version of shared libraries into rootfs (when generating system image by PetaLinux) we have to copy recepies recipes-vitis-ai to the Petalinux project :

Copy  
~/vitis_ai_2_0/tools/Vitis-AI-Recipes/recipes-vitis-ai

to

~/work/TE0803_24_240/StarterKit/os/petalinux/project-spec/meta-user/

In text editor, append these lines:

CONFIG_xrt
CONFIG_xrt-dev
CONFIG_zocl
CONFIG_opencl-clhpp-dev
CONFIG_opencl-headers-dev
CONFIG_packagegroup-petalinux-opencv
CONFIG_packagegroup-petalinux-opencv-dev
CONFIG_dnf
CONFIG_e2fsprogs-resize2fs
CONFIG_parted
CONFIG_resize-part
CONFIG_packagegroup-petalinux-vitisai
CONFIG_packagegroup-petalinux-self-hosted
CONFIG_cmake
CONFIG_packagegroup-petalinux-vitisai-dev
CONFIG_mesa-megadriver
CONFIG_packagegroup-petalinux-x11
CONFIG_packagegroup-petalinux-v4lutils
CONFIG_packagegroup-petalinux-matchbox
CONFIG_vitis-ai-library
CONFIG_vitis-ai-library-dev
CONFIG_vitis-ai-library-dbg

to the user-rootfsconfig file:
~/work/TE0803_24_240/StarterKit/os/petalinux/project-spec/meta-user/conf/user-rootfsconfig

xrt, xrt-dev and zocl  are required for Vitis acceleration flow.
dnf is for package management.
parted, e2fsprogs-resize2fs and resize-part can be used for ext4 partition resize.

Other included packages serve for natively building Vitis AI applications on target board and for running Vitis-AI demo applications with GUI.

The last three packages will enable use of the Vitis-AI 2.0 recepies for installation of the correspoding Vitis-AI 2.0 libraries into rootfs of PetaLinux.

Enable all required packages in Petalinux configuration, from the Ubuntu terminal:

$ petalinux-config -c rootfs

Select all user packages by typing “y”. All packages will have to have an asterisk.

Still in the RootFS configuration window, go to root directory by select Exit once.

Enable OpenSSH and Disable Dropbear


Dropbear is the default SSH tool in Vitis Base Embedded Platform. If OpenSSH is used to replace Dropbear, the system could achieve faster data transmission speed over ssh. Created Vitis extensible platform applications may use remote display feature. Using of OpenSSH can improve the display experience.

Go to Image Features.
Disable ssh-server-dropbear and enable ssh-server-openssh and click Exit.

Go to Filesystem Packages->misc->packagegroup-core-ssh-dropbear and disable packagegroup-core-ssh-dropbear.

Go to Filesystem Packages level by Exit twice.

Go to console->network->openssh and enable openssh, openssh-sftp-server, openssh-sshd, openssh-scp.

Go to root level by selection of Exit four times.

Enable Package Management


Package management feature can allow the board to install and upgrade software packages on the fly.

In rootfs config go to Image Features and enable package-management and debug_tweaks option
Click OK, Exit twice and select Yes to save the changes.

Disable CPU IDLE in Kernel Config


CPU IDLE would cause processors get into IDLE state (WFI) when the processor is not in use. When JTAG is connected, the hardware server on host machine talks to the processor regularly. If it talks to a processor in IDLE status, the system will hang because of incomplete AXI transactions.

So, it is recommended to disable the CPU IDLE feature during project development phase.

It can be re-enabled after the design has completed to save power in final products.

Launch kernel config:

$ petalinux-config -c kernel

Ensure the following items are TURNED OFF by entering 'n' in the [ ] menu selection:

CPU Power Management->CPU Idle->CPU idle PM support

CPU Power Management->CPU Frequency scaling->CPU Frequency scaling

Exit and Yes to Save changes.

Add EXT4 rootfs Support


Let PetaLinux generate EXT4 rootfs. In terminal, execute:

$ petalinux-config

Go to Image Packaging Configuration.
Enter into Root File System Type

Select Root File System Type  EXT4

Change the “Device node” of SD device from the default value
/dev/mmcblk0p2

to new value required for the TE0803 modules on TEBF0808 carrier:
/dev/mmcblk1p2

Exit and Yes to save changes.

Let Linux Use EXT4 rootfs During Boot


The setting of which rootfs to use during boot is controlled by bootargs. We would change bootargs settings to allow Linux to boot from EXT4 partition.

In terminal, execute:

$ petalinux-config

Change DTG settings->Kernel Bootargs->generate boot args automatically to NO.

Update User Set Kernel Bootargs to:
earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/mmcblk1p2 rw rootwait cma=512M

Click OK, Exit three times and Save.

Build PetaLinux Image


In terminal, build the PetaLinux project by executing:

$ petalinux-build

The PetaLinux image files will be generated in the directory:
~/work/TE0803_24_240/StarterKit/os/petalinux/images/linux

Generation of PetaLinux takes some time and requires Ethernet connection and sufficient free disk space.

Create Petalinux SDK 


The SDK is used by Vitis tool to cross compile applications for newly created platfom.

In terminal, execute:

$ petalinux-build --sdk

The generated sysroot package sdk.sh will be located in directory
~/work/TE0803_24_240/StarterKit/os/petalinux/images/linux
 
Generation of SDK package takes some time and requires sufficient free disk space.
Time needed for these two steps depends also on number of allocated processor cores.

Copy Files for Extensible Platform


Copy these four files:

FilesFromTo
bl31.elf
pmufw.elf
system.dtb
u-boot-dtb.elf
~/work/TE0803_24_240/StarterKit/os/petalinux/images/linux~/work/TE0803_24_240/StarterKit_pfm/pfm/boot

Rename the copied file u-boot-dtb.elf to u-boot.elf

The directory
~/work/TE0803_24_240/StarterKit_pfm/pfm/boot
contains these five files:

  1. bl31.elf
  2. fsbl.elf
  3. pmufw.elf
  4. system.dtb
  5. u-boot.elf

Copy files:

FilesFrom To
boot.scr
system.dtb
~/work/TE0803_24_240/StarterKit/os/petalinux/images/linux~/work/TE0803_24_240/StarterKit_pfm/pfm/sd_dir

Copy file:

FileFromTo
init.sh~/work/TE0803_24_240/StarterKit/misc/sd~/work/TE0803_24_240/StarterKit_pfm/pfm/sd_dir


init.sh is an place-holder for user defined bash code to be executed after the boot:

#!/bin/sh
normal="\e[39m"
lightred="\e[91m"
lightgreen="\e[92m"
green="\e[32m"
yellow="\e[33m"
cyan="\e[36m"
red="\e[31m"
magenta="\e[95m"

echo -ne $lightred
echo Load SD Init Script
echo -ne $cyan
echo User bash Code can be inserted here and put init.sh on SD
echo -ne $normal

Create Extensible Platform zip File


Create new directory tree:
~/work/TE0803_24_240_move/StarterKit/os/petalinux/images
~/work/TE0803_24_240_move/StarterKit/Vivado
~/work/TE0803_24_240_move/StarterKit_pfm/pfm/boot ~/work/TE0803_24_240_move/StarterKit_pfm/pfm/sd_dir

Copy all files from the directory:

FilesSourceDestination
all~/work/TE0803_24_240/StarterKit/os/petalinux/images~/work/TE0803_24_240_move/StarterKit/os/petalinux/images
all~/work/TE0803_24_240/StarterKit_pfm/pfm/boot~/work/TE0803_24_240_move/StarterKit_pfm/pfm/boot
all~/work/TE0803_24_240/StarterKit_pfm/pfm/sd_dir~/work/TE0803_24_240_move/StarterKit_pfm/pfm/sd_dir
StarterKit_4ev_2gb.xsa~/work/TE0803_24_240/StarterKit/Vivado/StarterKit_4ev_2gb.xsa~/work/TE0803_24_240_move/StarterKit/Vivado/StarterKit_4ev_2gb.xsa

Zip the directory
~/work/TE0803_24_240_move
into ZIP archive:
~/work/TE0803_24_240_move.zip

The archive TE0803_24_240_move.zip can be used to create extensible platform on the same or on an another PC with installed Ubuntu 20.04 and Vitis tools, with or without installed Petalinux. The archive includes all needed components, including the Xilinx xrt library and the script sdk.sh serving for generation of the sysroot .

The archive has size approximately 3.6 GB and it is valid only for the initially selected module (24).
This is the TE0803 HW module with xczu4ev-sfvc784-1-e device with 2 GB memory.
The extensible Vitis platform will have the default clock 240 MHz.

Move the TE0803_24_240_move.zip file to an PC disk drive.

Delete:
~/work/TE0803_24_240_move
~/work/TE0803_24_240_move.zip
Clean the Ubuntu Trash.

Generation of SYSROOT


This part of development can be direct continuation of the previous Petalinux configuration and compilation steps.

Alternatively, it is also possible to implement all next steps on an Ubuntu 20.04 without installed PetaLinux Only the Ubuntu 20.04 and Vitis/Vivado installation is needed.
All required files created in the PetaLinux for the specific module (24) are present in the archive: TE0803_24_240_move.zip
In this case, unzip the archive to the directory:
~/work/TE0803_24_240_move
and copy all content of directories to
~/work/TE0803_24_240
Delete the TE0803_24_240_move.zip file and the ~/work/TE0803_24_240_move directory to save filesystem space.

In Ubuntu terminal, change the working directory to:
~/work/TE0803_24_240/StarterKit/os/petalinux/images/linux

In Ubuntu terminal, execute script enabling access to Vitis 2021 tools.
Execution of script serving for setting up PetaLinux environment is not necessary:

$ source /tools/Xilinx/Vitis/2021.2/settings64.sh

In Ubuntu terminal, execute script

$ ./sdk.sh -d ~/work/TE0803_24_240/StarterKit_pfm

SYSROOT directories and files for PC and for Zynq Ultrascale+  will be created in:
~/work/TE0803_24_240/StarterKit_pfm/sysroots/x86_64-petalinux-linux
~/work/TE0803_24_240/StarterKit_pfm/sysroots/cortexa72-cortexa53-xilinx-linux

Once created, do not move these sysroot directories (due to some internally created paths).

Generation of Extensible Platform for Vitis


In Ubuntu terminal, change the working directory to:
~/work/TE0803_24_240/StarterKit_pfm

Start the Vitis tool by executing

$ vitis &

In Vitis “Launcher”, set the workspace for the extensible platform compilation:
~/work/TE0803_24_240/StarterKit_pfm

Click on “Launch” to launch Vitis

Close Welcome page.

In Vitis, select in the main menu: File -> New -> Platform Project

Type name of the extensible platform:  TE0803_24_240_pfm. Click Next.

 Choose for hardware specification for the platform file:
 ~/work/TE0803_24_240/StarterKit/vivado/StarterKit_4ev_2gb.xsa

In “Software specification” select: linux
In “Boot Components” unselect Generate boot components
(these components have been already generated by Vivado and PetaLinux design flow)

New window TE0803_24_240_pfm is opened.

Click on linux on psu_cortex53 to open window Domain: linux_domain

In “Description”: write xrt  

In “Bif File” find and select the pre-defied option:  Generate Bif

In “Boot Components Directory” select:
~/work/TE0803_24_240/StarterKit_pfm/pfm/boot

In “FAT32 Partition Directory” select:
~/work/TE0803_24_240/StarterKit_pfm/pfm/sd_dir

In Vitis IDE “Explorer” section, click on TE0803_24_240_pfm to highlight it.

Right-click on the highlighted TE0803_24_240_pfm and select build project in the open submenu. Platform is compiled in few seconds.
Close the Vitis tool by selection: File -> Exit.

Vits extensible platform TE0803_24_240_pfm has been created in the directory:
~/work/TE0803_24_240/StarterKit_pfm/TE0803_24_240_pfm/export/TE0803_24_240_pfm

Platform Usage


Test 1: Read Platform Info


With Vitis environment setup, platforminfo tool can report XPFM platform information.

platforminfo ~/work/TE0803_24_240/StarterKit_pfm/TE0803_24_240_pfm/export/TE0803_24_240_pfm/TE0803_24_240_pfm.xpfm 
Detailed listing from platforminfo utility
==========================
Basic Platform Information
==========================
Platform:           te0803_24_240_pfm
File:               /home/devel/work/te0803_24_240/StarterKit_pfm/te0803_24_240_pfm/export/te0803_24_240_pfm/te0803_24_240_pfm.xpfm
Description:        
te0803_24_240_pfm
    

=====================================
Hardware Platform (Shell) Information
=====================================
Vendor:                           trenz
Board:                            zusys
Name:                             zusys
Version:                          2.0
Generated Version:                2021.2.1
Hardware:                         1
Software Emulation:               1
Hardware Emulation:               1
Hardware Emulation Platform:      0
FPGA Family:                      zynquplus
FPGA Device:                      xczu4ev
Board Vendor:                     trenz.biz
Board Name:                       trenz.biz:te0803_4ev_1e_TEBF0808:2.0
Board Part:                       xczu4ev-sfvc784-1-e

=================
Clock Information
=================
  Default Clock Index: 4
  Clock Index:         1
    Frequency:         100.000000
  Clock Index:         2
    Frequency:         200.000000
  Clock Index:         3
    Frequency:         400.000000
  Clock Index:         4
    Frequency:         240.000000

==================
Memory Information
==================
  Bus SP Tag: HP0
  Bus SP Tag: HP1
  Bus SP Tag: HP2
  Bus SP Tag: HP3
  Bus SP Tag: HPC0
  Bus SP Tag: HPC1

=============================
Software Platform Information
=============================
Number of Runtimes:            1
Default System Configuration:  te0803_24_240_pfm
System Configurations:
  System Config Name:                      te0803_24_240_pfm
  System Config Description:               te0803_24_240_pfm
  System Config Default Processor Group:   linux_domain
  System Config Default Boot Image:        standard
  System Config Is QEMU Supported:         1
  System Config Processor Groups:
    Processor Group Name:      linux on psu_cortexa53
    Processor Group CPU Type:  cortex-a53
    Processor Group OS Name:   linux
  System Config Boot Images:
    Boot Image Name:           standard
    Boot Image Type:           
    Boot Image BIF:            te0803_24_240_pfm/boot/linux.bif
    Boot Image Data:           te0803_24_240_pfm/linux_domain/image
    Boot Image Boot Mode:      sd
    Boot Image RootFileSystem: 
    Boot Image Mount Path:     /mnt
    Boot Image Read Me:        te0803_24_240_pfm/boot/generic.readme
    Boot Image QEMU Args:      te0803_24_240_pfm/qemu/pmu_args.txt:te0803_24_240_pfm/qemu/qemu_args.txt
    Boot Image QEMU Boot:      
    Boot Image QEMU Dev Tree:  
Supported Runtimes:
  Runtime: OpenCL


Test 2: Run Vector Addition Example


Create new directory StarterKit_test_vadd  to test Vitis extendable flow example “vector addition”
~/work/TE0803_24_240/StarterKit_test_vadd

Current directory structure:
~/work/TE0803_24_240/StarterKit
~/work/TE0803_24_240/StarterKit_pfm
~/work/TE0803_24_240/StarterKit_test_vadd

Change working directory:

$cd ~/work/TE0803_24_240/StarterKit_test_vadd

In Ubuntu terminal, start Vitis by:

$ vitis &

In Vitis IDE Launcher, select your working directory
~/work/TE0803_24_240/StarterKit_test_vadd
Click on Launch to launch Vitis.

Select File -> New -> Application project. Click Next.

Skip welcome page if shown.

Click on “+ Add” icon and select the custom extensible platform TE0803_24_240_pfm[custom] in the directory:
~/work/TE0803_24_240/StarterKit_pfm/TE0803_24_240_pfm/export/TE0803_24_240_pfm

We can see available PL clocks and frequencies.

PL4 with 240 MHz clock is has been set as default in the platform creation process.


 Click Next.
In “Application Project Details” window type into Application project name: test_vadd
Click Next.
In “Domain window” type (or select by browse):
“Sysroot path”:
~/work/TE0803_24_240/StarterKit_pfm/sysroots/cortexa72-cortexa53-xilinx-linux
“Root FS”:
~/work/TE0803_24_240/StarterKit/os/petalinux/images/linux/rootfs.ext4
“Kernel Image”:
~/work/TE0803_24_240/StarterKit/os/petalinux/images/linux/Image
Click Next.

In “Templates window”, if not done before, update “Vitis IDE Examples” and “Vitis IDE Libraries”.

Select Host Examples
In “Find”, type: “vector add” to search for the “Vector Addition” example.

Select: “Vector Addition”
Click Finish
New project template is created.

In test_vadd window menu “Active build configuration” switch from “SW Emulation” to “Hardware”.

In “Explorer” section of Vitis IDE, click on:  test_vadd_system[TE0803_24_240_pfm] to select it.

Right Click on:  test_vadd_system[TE0803_24_240_pfm] and select in the opened sub-menu:
Build project

Vitis will compile:
In test_vadd_kernels subproject, compile the krnl_vadd from C++ SW to HDL HW IP source code
In test_vadd_system_hw_link subproject, compile  the krnl_vadd HDL together with TE0803_24_240_pfm into new, extended HW design with new accelerated (krnl_vadd) will run on the default 240 MHz clock. This step can take some time.
In test_vadd subproject, compile the vadd.cpp application example.

Run Compiled Example Application


The sd_card.img file is output of the compilation and packing by Vitis. It is located in directory:
~/work/TE0803_24_240/StarterKit_test_vadd/test_vadd_system/Hardware/package/sd_card.img

Write the sd card image from the sd_card.img file to SD card.

In Windows Pro 10 (or Windows 11 Pro) PC, inst all program Win32DiskImager  for this task. Win32 Disk Imager can write raw disk image to removable devices.
https://win32diskimager.org/

Insert the SD card to the TEBF0808 carrier board.

Connect PC USB terminal (115200 bps) card to the TEBF0808 carrier board.

Connect USB Keyboard and USB Mouse to the TEBF0808 carrier board.

Connect Ethernet cable to the TEBF0808 carrier board.

Power on the TEBF0808 carrier board.

In PC, find the assigned serial line COM port number for the USB terminal. In case of Win 10 use device manager.

In PC, open serial line terminal with the assigned COM port number. Speed 115200 bps.

Connect Monitor to the Display Port connector of the TEBF0808 carrier board.

On TEBF0808, press button S1 to start the system (press the button for cca. 1 sec. ).
(FMC fan starts to rotate, USB terminal starts to display booting information)

Display Port Monitor indicates text “Please wait: Booting…” (white text, black background).

X11 screen opens on Display port.

Mouse and keyboard connected to the TEBF0808 carrier board can be used.

Click on “Terminal” icon (A Unicode capable rxvt)

Terminal opens as an X11 graphic window.

In terminal, use keyboard connected to the TEBF0808 carrier board and type:

sh-5.0# cd /media/sd-mmcblk1p1/
sh-5.0# ./test_vadd krnl_vadd.xclbin

The application test_vadd should run with this output:

sh-5.0# cd /media/sd-mmcblk1p1/
sh-5.0# ./test_vadd krnl_vadd.xclbin
INFO: Reading krnl_vadd.xclbin
Loading: 'krnl_vadd.xclbin'
Trying to program device[0]: edge
Device[0]: program successful!
TEST PASSED
sh-5.0#

The Vitis application has been compiled to HW and evaluated on custom system
with extensible custom TE0803_24_240_pfm platform.

Close the rxvt terminal emulator by click ”x” icon (in the upper right corner) or by typing:

# exit

In X11, click ”Shutdown” icon to close down safely.

System is halted. Messages relate to halt of the system can be seen on the USB terminal).
The Display Port output is switched off.
The TEBF0808 carrier board can be powered off by pressing on the S1 switch (cca. 1 sec long).
The FMC fan stops.

The SD card can be safely removed from the TEBF0808 carrier board, now.

The TEBF0808 carrier board can be disconnected from power.

The TEBF0808 carrier with TE0803-02-04eg-1e-2gb module is running the PetaLinux OS and drives simple version of an X11 GUI on monitor with Display Port. Application test_vadd is executed. 

 

Full listing of PC USB petalinux console after following operations are performed:
--------------------------------------------------------------------------------
TE0803 TE_XFsbl_HookPsuInit_Custom
Configure Carrier I2C Switch 0x77
Configure PLL: SI5338-B
Si5338 Init Registers Write.
Si5338 Init Complete
PLL Status Register 218:0x8
USB Reset Complete
PCIe Reset Complete

--------------------------------------------------------------------------------

--------------------------------------------------------------------------------
Xilinx Zynq MP First Stage Boot Loader (TE modified)
Release 2021.2   Oct 21 2022  -  18:45:36
Device Name: XCZU4EV

--------------------------------------------------------------------------------
TE0803 TE_XFsbl_BoardInit_Custom
Configure Carrier I2C Switch 0x73 for EEPROM access
Configure Carrier I2C Switch 0x77 to avoid conflicts with EEPROM

--------------------------------------------------------------------------------
NOTICE:  BL31: v2.4(release):xlnx_rebase_v2.4_2021.1_update1-23-g9188496b9
NOTICE:  BL31: Built : 07:41:24, Oct 13 2021


U-Boot 2021.01 (Oct 12 2021 - 09:28:42 +0000)

CPU:   ZynqMP
Silicon: v3
Board: Xilinx ZynqMP
DRAM:  2 GiB
PMUFW:  v1.1
EL Level:       EL2
Chip ID:        zu4ev
NAND:  0 MiB
MMC:   mmc@ff160000: 0, mmc@ff170000: 1
Loading Environment from FAT... Unable to use mmc 0:0... In:    serial
Out:   serial
Err:   serial
Bootmode: SD_MODE1
Reset reason:   EXTERNAL
Net:
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr 1, interface rgmii-id

Warning: ethernet@ff0e0000 (eth0) using random MAC address - c2:53:72:1f:8c:5f
eth0: ethernet@ff0e0000
Hit any key to stop autoboot:  0
switch to partitions #0, OK
mmc1 is current device
Scanning mmc 1:1...
Found U-Boot script /boot.scr
2710 bytes read in 16 ms (165 KiB/s)
## Executing script at 20000000
Trying to load boot images from mmc1
21787136 bytes read in 1620 ms (12.8 MiB/s)
41415 bytes read in 18 ms (2.2 MiB/s)
## Flattened Device Tree blob at 00100000
   Booting using the fdt blob at 0x100000
   Loading Device Tree to 000000007dd04000, end 000000007dd111c6 ... OK

Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
[    0.000000] Linux version 5.10.0-xilinx-v2021.2 (oe-user@oe-host) (aarch64-xilinx-linux-gcc (GCC) 10.2.0, GNU ld (GNU Binutils) 2.35.1) #1 SMP Tue Oct 12 09:30:57 UTC 2021
[    0.000000] Machine model: xlnx,zynqmp
[    0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')
[    0.000000] printk: bootconsole [cdns0] enabled
[    0.000000] efi: UEFI not found.
[    0.000000] cma: Reserved 512 MiB at 0x000000005dc00000
[    0.000000] Zone ranges:
[    0.000000]   DMA32    [mem 0x0000000000000000-0x000000007fefffff]
[    0.000000]   Normal   empty
[    0.000000] Movable zone start for each node
[    0.000000] Early memory node ranges
[    0.000000]   node   0: [mem 0x0000000000000000-0x000000007fefffff]
[    0.000000] Zeroed struct page in unavailable ranges: 256 pages
[    0.000000] Initmem setup node 0 [mem 0x0000000000000000-0x000000007fefffff]
[    0.000000] psci: probing for conduit method from DT.
[    0.000000] psci: PSCIv1.1 detected in firmware.
[    0.000000] psci: Using standard PSCI v0.2 function IDs
[    0.000000] psci: MIGRATE_INFO_TYPE not supported.
[    0.000000] psci: SMC Calling Convention v1.2
[    0.000000] percpu: Embedded 22 pages/cpu s49624 r8192 d32296 u90112
[    0.000000] Detected VIPT I-cache on CPU0
[    0.000000] CPU features: detected: ARM erratum 845719
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 515844
[    0.000000] Kernel command line: earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/mmcblk1p2 rw rootwait cma=512M
[    0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
[    0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
[    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
[    0.000000] Memory: 1509440K/2096128K available (14080K kernel code, 988K rwdata, 3964K rodata, 2112K init, 591K bss, 62400K reserved, 524288K cma-reserved)
[    0.000000] rcu: Hierarchical RCU implementation.
[    0.000000] rcu:     RCU event tracing is enabled.
[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[    0.000000] GIC: Using split EOI/Deactivate mode
[    0.000000] irq-xilinx: /amba_pl@0/interrupt-controller@80000000: num_irq=32, sw_irq=0, edge=0x0
[    0.000000] random: get_random_bytes called from start_kernel+0x31c/0x524 with crng_init=0
[    0.000000] arch_timer: cp15 timer(s) running at 33.33MHz (phys).
[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x7b00c3848, max_idle_ns: 440795203375 ns
[    0.000003] sched_clock: 56 bits at 33MHz, resolution 30ns, wraps every 2199023255545ns
[    0.008300] Console: colour dummy device 80x25
[    0.012393] Calibrating delay loop (skipped), value calculated using timer frequency.. 66.66 BogoMIPS (lpj=133333)
[    0.022668] pid_max: default: 32768 minimum: 301
[    0.027405] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
[    0.034613] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
[    0.043500] rcu: Hierarchical SRCU implementation.
[    0.047413] EFI services will not be available.
[    0.051800] smp: Bringing up secondary CPUs ...
[    0.056554] Detected VIPT I-cache on CPU1
[    0.056594] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
[    0.057015] Detected VIPT I-cache on CPU2
[    0.057042] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
[    0.057443] Detected VIPT I-cache on CPU3
[    0.057468] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
[    0.057525] smp: Brought up 1 node, 4 CPUs
[    0.091608] SMP: Total of 4 processors activated.
[    0.096280] CPU features: detected: 32-bit EL0 Support
[    0.101384] CPU features: detected: CRC32 instructions
[    0.106524] CPU: All CPU(s) started at EL2
[    0.110566] alternatives: patching kernel code
[    0.116096] devtmpfs: initialized
[    0.123092] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[    0.127953] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
[    0.147944] pinctrl core: initialized pinctrl subsystem
[    0.148379] DMI not present or invalid.
[    0.151538] NET: Registered protocol family 16
[    0.157373] DMA: preallocated 256 KiB GFP_KERNEL pool for atomic allocations
[    0.162882] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
[    0.170677] audit: initializing netlink subsys (disabled)
[    0.176090] audit: type=2000 audit(0.116:1): state=initialized audit_enabled=0 res=1
[    0.176487] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[    0.190503] ASID allocator initialised with 65536 entries
[    0.195922] Serial: AMBA PL011 UART driver
[    0.226761] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages
[    0.227816] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages
[    0.234489] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[    0.241162] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages
[    1.442191] cryptd: max_cpu_qlen set to 1000
[    1.470010] DRBG: Continuing without Jitter RNG
[    1.548846] raid6: neonx8   gen()  1862 MB/s
[    1.616899] raid6: neonx8   xor()  1397 MB/s
[    1.684982] raid6: neonx4   gen()  1910 MB/s
[    1.753046] raid6: neonx4   xor()  1369 MB/s
[    1.821122] raid6: neonx2   gen()  1812 MB/s
[    1.889196] raid6: neonx2   xor()  1260 MB/s
[    1.957278] raid6: neonx1   gen()  1549 MB/s
[    2.025343] raid6: neonx1   xor()  1067 MB/s
[    2.093419] raid6: int64x8  gen()  1257 MB/s
[    2.161487] raid6: int64x8  xor()   674 MB/s
[    2.229584] raid6: int64x4  gen()  1398 MB/s
[    2.297646] raid6: int64x4  xor()   717 MB/s
[    2.365728] raid6: int64x2  gen()  1224 MB/s
[    2.433792] raid6: int64x2  xor()   655 MB/s
[    2.501888] raid6: int64x1  gen()   901 MB/s
[    2.569954] raid6: int64x1  xor()   452 MB/s
[    2.569993] raid6: using algorithm neonx4 gen() 1910 MB/s
[    2.573950] raid6: .... xor() 1369 MB/s, rmw enabled
[    2.578882] raid6: using neon recovery algorithm
[    2.583947] iommu: Default domain type: Translated
[    2.588546] SCSI subsystem initialized
[    2.592181] usbcore: registered new interface driver usbfs
[    2.597509] usbcore: registered new interface driver hub
[    2.602784] usbcore: registered new device driver usb
[    2.607832] mc: Linux media interface: v0.10
[    2.612030] videodev: Linux video capture interface: v2.00
[    2.617505] EDAC MC: Ver: 3.0.0
[    2.620964] zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels.
[    2.629252] FPGA manager framework
[    2.632547] Advanced Linux Sound Architecture Driver Initialized.
[    2.638794] Bluetooth: Core ver 2.22
[    2.642038] NET: Registered protocol family 31
[    2.646440] Bluetooth: HCI device and connection manager initialized
[    2.652757] Bluetooth: HCI socket layer initialized
[    2.657599] Bluetooth: L2CAP socket layer initialized
[    2.662621] Bluetooth: SCO socket layer initialized
[    2.667740] clocksource: Switched to clocksource arch_sys_counter
[    2.673672] VFS: Disk quotas dquot_6.6.0
[    2.677454] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[    2.689063] NET: Registered protocol family 2
[    2.689474] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes, linear)
[    2.697074] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
[    2.705031] TCP bind hash table entries: 16384 (order: 6, 262144 bytes, linear)
[    2.712396] TCP: Hash tables configured (established 16384 bind 16384)
[    2.718736] UDP hash table entries: 1024 (order: 3, 32768 bytes, linear)
[    2.725373] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes, linear)
[    2.732553] NET: Registered protocol family 1
[    2.737040] RPC: Registered named UNIX socket transport module.
[    2.742639] RPC: Registered udp transport module.
[    2.747301] RPC: Registered tcp transport module.
[    2.751972] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    2.759025] PCI: CLS 0 bytes, default 64
[    2.791026] Initialise system trusted keyrings
[    2.791157] workingset: timestamp_bits=46 max_order=19 bucket_order=0
[    2.796988] NFS: Registering the id_resolver key type
[    2.801271] Key type id_resolver registered
[    2.805420] Key type id_legacy registered
[    2.809408] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    2.816065] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
[    2.864979] NET: Registered protocol family 38
[    2.865024] xor: measuring software checksum speed
[    2.873314]    8regs           :  2068 MB/sec
[    2.877373]    32regs          :  2449 MB/sec
[    2.882139]    arm64_neon      :  2083 MB/sec
[    2.882178] xor: using function: 32regs (2449 MB/sec)
[    2.886539] Key type asymmetric registered
[    2.890602] Asymmetric key parser 'x509' registered
[    2.895467] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)
[    2.902800] io scheduler mq-deadline registered
[    2.907301] io scheduler kyber registered
[    2.913411] ps_pcie_dma init()
[    2.943867] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[    2.945706] Serial: AMBA driver
[    2.950150] cacheinfo: Unable to detect cache hierarchy for CPU 0
[    2.958750] brd: module loaded
[    2.963567] loop: module loaded
[    2.965010] mtdoops: mtd device (mtddev=name/number) must be supplied
[    2.969038] libphy: Fixed MDIO Bus: probed
[    2.972949] tun: Universal TUN/TAP device driver, 1.6
[    2.976649] CAN device driver interface
[    2.981144] usbcore: registered new interface driver asix
[    2.985767] usbcore: registered new interface driver ax88179_178a
[    2.991805] usbcore: registered new interface driver cdc_ether
[    2.997601] usbcore: registered new interface driver net1080
[    3.003228] usbcore: registered new interface driver cdc_subset
[    3.009104] usbcore: registered new interface driver zaurus
[    3.014650] usbcore: registered new interface driver cdc_ncm
[    3.021154] usbcore: registered new interface driver uas
[    3.025556] usbcore: registered new interface driver usb-storage
[    3.032119] rtc_zynqmp ffa60000.rtc: registered as rtc0
[    3.036696] rtc_zynqmp ffa60000.rtc: setting system clock to 1970-01-01T00:00:06 UTC (6)
[    3.044771] i2c /dev entries driver
[    3.049842] usbcore: registered new interface driver uvcvideo
[    3.053890] USB Video Class driver (1.1.1)
[    3.058484] Bluetooth: HCI UART driver ver 2.3
[    3.062371] Bluetooth: HCI UART protocol H4 registered
[    3.067470] Bluetooth: HCI UART protocol BCSP registered
[    3.072765] Bluetooth: HCI UART protocol LL registered
[    3.077850] Bluetooth: HCI UART protocol ATH3K registered
[    3.083231] Bluetooth: HCI UART protocol Three-wire (H5) registered
[    3.089478] Bluetooth: HCI UART protocol Intel registered
[    3.094818] Bluetooth: HCI UART protocol QCA registered
[    3.100020] usbcore: registered new interface driver bcm203x
[    3.105644] usbcore: registered new interface driver bpa10x
[    3.111182] usbcore: registered new interface driver bfusb
[    3.116630] usbcore: registered new interface driver btusb
[    3.122092] usbcore: registered new interface driver ath3k
[    3.127590] EDAC MC: ECC not enabled
[    3.131176] EDAC DEVICE0: Giving out device to module edac controller cache_err: DEV edac (POLLED)
[    3.140129] EDAC DEVICE1: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[    3.152357] sdhci: Secure Digital Host Controller Interface driver
[    3.158209] sdhci: Copyright(c) Pierre Ossman
[    3.162532] sdhci-pltfm: SDHCI platform and OF driver helper
[    3.168499] ledtrig-cpu: registered to indicate activity on CPUs
[    3.174139] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping ....
[    3.180566] zynqmp_firmware_probe Platform Management API v1.1
[    3.186324] zynqmp_firmware_probe Trustzone version v1.0
[    3.253136] securefw securefw: securefw probed
[    3.253411] alg: No test for xilinx-zynqmp-aes (zynqmp-aes)
[    3.257594] zynqmp_aes firmware:zynqmp-firmware:zynqmp-aes: AES Successfully Registered
[    3.265720] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384)
[    3.271898] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)
[    3.277366] usbcore: registered new interface driver usbhid
[    3.282744] usbhid: USB HID core driver
[    3.289495] ARM CCI_400_r1 PMU driver probed
[    3.289989] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[    3.297663] usbcore: registered new interface driver snd-usb-audio
[    3.304226] pktgen: Packet Generator for packet performance testing. Version: 2.75
[    3.311514] Initializing XFRM netlink socket
[    3.315168] NET: Registered protocol family 10
[    3.319958] Segment Routing with IPv6
[    3.323301] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[    3.329401] NET: Registered protocol family 17
[    3.333444] NET: Registered protocol family 15
[    3.337853] can: controller area network core
[    3.342197] NET: Registered protocol family 29
[    3.346582] can: raw protocol
[    3.349522] can: broadcast manager protocol
[    3.353677] can: netlink gateway - max_hops=1
[    3.358075] Bluetooth: RFCOMM TTY layer initialized
[    3.362851] Bluetooth: RFCOMM socket layer initialized
[    3.367956] Bluetooth: RFCOMM ver 1.11
[    3.371662] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[    3.376940] Bluetooth: BNEP filters: protocol multicast
[    3.382133] Bluetooth: BNEP socket layer initialized
[    3.387060] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[    3.392944] Bluetooth: HIDP socket layer initialized
[    3.398002] 9pnet: Installing 9P2000 support
[    3.402127] Key type dns_resolver registered
[    3.406487] registered taskstats version 1
[    3.410416] Loading compiled-in X.509 certificates
[    3.416212] Btrfs loaded, crc32c=crc32c-generic
[    3.429203] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 56, base_baud = 6249999) is a xuartps
[    3.438228] printk: console [ttyPS0] enabled
[    3.438228] printk: console [ttyPS0] enabled
[    3.442525] printk: bootconsole [cdns0] disabled
[    3.442525] printk: bootconsole [cdns0] disabled
[    3.451848] of-fpga-region fpga-full: FPGA Region probed
[    3.461906] nwl-pcie fd0e0000.pcie: host bridge /axi/pcie@fd0e0000 ranges:
[    3.468805] nwl-pcie fd0e0000.pcie:      MEM 0x00e0000000..0x00efffffff -> 0x00e0000000
[    3.476815] nwl-pcie fd0e0000.pcie:      MEM 0x0600000000..0x07ffffffff -> 0x0600000000
[    3.484893] nwl-pcie fd0e0000.pcie: Link is DOWN
[    3.489669] nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00
[    3.495855] pci_bus 0000:00: root bus resource [bus 00-ff]
[    3.501336] pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff]
[    3.508210] pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref]
[    3.515708] pci 0000:00:00.0: [10ee:d021] type 01 class 0x060400
[    3.521773] pci 0000:00:00.0: PME# supported from D0 D1 D2 D3hot
[    3.530593] pci 0000:00:00.0: PCI bridge to [bus 01-0c]
[    3.535909] ps_pcie_dma 0000:00:00.0: PS PCIe DMA Driver probe
[    3.542122] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[    3.549328] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[    3.556533] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[    3.563743] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[    3.570943] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[    3.578144] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[    3.585341] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[    3.592541] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[    3.599816] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[    3.607035] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[    3.614233] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[    3.621429] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[    3.628630] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[    3.635839] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[    3.643033] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[    3.650228] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[    3.657677] xilinx-zynqmp-dpdma fd4c0000.dma-controller: Xilinx DPDMA engine is probed
[    3.666547] zynqmp-display fd4a0000.display: vtc bridge property not present
[    3.675630] xilinx-dp-snd-codec fd4a0000.display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
[    3.685894] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
[    3.694140] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[    3.703154] xilinx-dp-snd-card fd4a0000.display:zynqmp_dp_snd_card: ASoC: no DMI vendor name!
[    3.712033] xilinx-dp-snd-card fd4a0000.display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed
[    3.721615] OF: graph: no port node found in /axi/display@fd4a0000
[    3.728165] xlnx-drm xlnx-drm.0: bound fd4a0000.display (ops 0xffff800010e9b9c8)
[    3.856616] Console: switching to colour frame buffer device 128x48
[    3.876213] zynqmp-display fd4a0000.display: [drm] fb0: xlnxdrmfb frame buffer device
[    3.884273] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.display on minor 0
[    3.891772] zynqmp-display fd4a0000.display: ZynqMP DisplayPort Subsystem driver probed
[    3.900168] ahci-ceva fd0c0000.ahci: supply ahci not found, using dummy regulator
[    3.907719] ahci-ceva fd0c0000.ahci: supply phy not found, using dummy regulator
[    3.915149] ahci-ceva fd0c0000.ahci: supply target not found, using dummy regulator
[    3.933010] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode
[    3.941973] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst
[    3.952709] scsi host0: ahci-ceva
[    3.956336] scsi host1: ahci-ceva
[    3.959801] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 53
[    3.967713] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 53
[    3.976700] spi-nor spi0.0: trying to lock already unlocked area
[    3.982711] spi-nor spi0.0: n25q512a (131072 Kbytes)
[    3.987695] 4 fixed-partitions partitions found on MTD device spi0.0
[    3.994051] Creating 4 MTD partitions on "spi0.0":
[    3.998838] 0x000000000000-0x000000a00000 : "boot"
[    4.004504] 0x000000a00000-0x000000a40000 : "bootenv"
[    4.010319] 0x000000a40000-0x000002a40000 : "kernel"
[    4.016043] 0x000002a40000-0x000002ac0000 : "bootscr"
[    4.023003] macb ff0e0000.ethernet: Not enabling partial store and forward
[    4.030518] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[    4.037115] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM
[    4.043671] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM
[    4.050239] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM
[    4.072590] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
[    4.078087] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 1
[    4.085847] xhci-hcd xhci-hcd.1.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000002010810
[    4.095263] xhci-hcd xhci-hcd.1.auto: irq 95, io mem 0xfe200000
[    4.101393] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 5.10
[    4.109663] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    4.116880] usb usb1: Product: xHCI Host Controller
[    4.121755] usb usb1: Manufacturer: Linux 5.10.0-xilinx-v2021.2 xhci-hcd
[    4.128447] usb usb1: SerialNumber: xhci-hcd.1.auto
[    4.133629] hub 1-0:1.0: USB hub found
[    4.137394] hub 1-0:1.0: 1 port detected
[    4.141521] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
[    4.147012] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 2
[    4.154667] xhci-hcd xhci-hcd.1.auto: Host supports USB 3.0 SuperSpeed
[    4.161307] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 5.10
[    4.169570] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    4.176786] usb usb2: Product: xHCI Host Controller
[    4.181655] usb usb2: Manufacturer: Linux 5.10.0-xilinx-v2021.2 xhci-hcd
[    4.188347] usb usb2: SerialNumber: xhci-hcd.1.auto
[    4.193505] hub 2-0:1.0: USB hub found
[    4.197272] hub 2-0:1.0: 1 port detected
[    4.202590] i2c i2c-0: Added multiplexed i2c bus 2
[    4.207515] i2c i2c-0: Added multiplexed i2c bus 3
[    4.212451] i2c i2c-0: Added multiplexed i2c bus 4
[    4.217387] i2c i2c-0: Added multiplexed i2c bus 5
[    4.222326] i2c i2c-0: Added multiplexed i2c bus 6
[    4.227524] at24 7-0050: supply vcc not found, using dummy regulator
[    4.234324] at24 7-0050: 256 byte 24aa025 EEPROM, writable, 1 bytes/write
[    4.241155] i2c i2c-0: Added multiplexed i2c bus 7
[    4.246115] i2c i2c-0: Added multiplexed i2c bus 8
[    4.251053] i2c i2c-0: Added multiplexed i2c bus 9
[    4.255850] pca954x 0-0073: registered 8 multiplexed busses for I2C switch pca9548
[    4.263840] i2c i2c-0: Added multiplexed i2c bus 10
[    4.268864] i2c i2c-0: Added multiplexed i2c bus 11
[    4.273883] i2c i2c-0: Added multiplexed i2c bus 12
[    4.278904] i2c i2c-0: Added multiplexed i2c bus 13
[    4.283923] i2c i2c-0: Added multiplexed i2c bus 14
[    4.288942] i2c i2c-0: Added multiplexed i2c bus 15
[    4.290004] ata1: SATA link down (SStatus 0 SControl 330)
[    4.293968] i2c i2c-0: Added multiplexed i2c bus 16
[    4.299240] ata2: SATA link down (SStatus 0 SControl 330)
[    4.304253] i2c i2c-0: Added multiplexed i2c bus 17
[    4.314342] pca954x 0-0077: registered 8 multiplexed busses for I2C switch pca9548
[    4.321948] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 41
[    4.328293] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s
[    4.335826] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer with timeout 10s
[    4.344312] macb ff0e0000.ethernet: Not enabling partial store and forward
[    4.352540] libphy: MACB_mii_bus: probed
[    4.357160] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 39 (54:10:ec:65:33:f6)
[    4.369901] of_cfs_init
[    4.372375] of_cfs_init: OK
[    4.375311] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[    4.375761] mmc0: SDHCI controller on ff160000.mmc [ff160000.mmc] using ADMA 64-bit
[    4.377136] mmc1: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit
[    4.405881] random: fast init done
[    4.415654] mmc1: Problem switching card into high-speed mode!
[    4.422060] mmc1: new SDHC card at address 0001
[    4.426990] mmcblk1: mmc1:0001 SD16G 14.5 GiB
[    4.433053]  mmcblk1: p1 p2
[    4.460338] mmc0: new high speed MMC card at address 0001
[    4.466107] mmcblk0: mmc0:0001 Q2J54A 3.59 GiB
[    4.470828] mmcblk0boot0: mmc0:0001 Q2J54A partition 1 16.0 MiB
[    4.475750] usb 1-1: new high-speed USB device number 2 using xhci-hcd
[    4.476942] mmcblk0boot1: mmc0:0001 Q2J54A partition 2 16.0 MiB
[    4.489238] mmcblk0rpmb: mmc0:0001 Q2J54A partition 3 512 KiB, chardev (244:0)
[    4.533201] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
[    4.539740] clk: Not disabling unused clocks
[    4.544245] ALSA device list:
[    4.547198]   #0: DisplayPort monitor
[    4.551148] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[    4.559766] cfg80211: failed to load regulatory.db
[    4.610492] EXT4-fs (mmcblk1p2): mounted filesystem with ordered data mode. Opts: (null)
[    4.618618] VFS: Mounted root (ext4 filesystem) on device 179:2.
[    4.626014] devtmpfs: mounted
[    4.629660] Freeing unused kernel memory: 2112K
[    4.634256] Run /sbin/init as init process
[    4.643978] usb 1-1: New USB device found, idVendor=04b4, idProduct=650a, bcdDevice=50.00
[    4.652158] usb 1-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0
[    4.705353] hub 1-1:1.0: USB hub found
[    4.709133] hub 1-1:1.0: 4 ports detected
[    4.771785] usb 2-1: new SuperSpeed Gen 1 USB device number 2 using xhci-hcd
[    4.795998] usb 2-1: New USB device found, idVendor=04b4, idProduct=6508, bcdDevice=50.00
[    4.804172] usb 2-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0
[    4.865323] hub 2-1:1.0: USB hub found
[    4.869239] hub 2-1:1.0: 4 ports detected
INIT: version 2.97 booting
[    5.055762] usb 1-1.4: new high-speed USB device number 3 using xhci-hcd
[    5.161015] usb 1-1.4: New USB device found, idVendor=05e3, idProduct=0610, bcdDevice=32.98
[    5.169373] usb 1-1.4: New USB device strings: Mfr=0, Product=1, SerialNumber=0
[    5.176676] usb 1-1.4: Product: USB2.0 Hub
[    5.217526] hub 1-1.4:1.0: USB hub found
[    5.221727] hub 1-1.4:1.0: 4 ports detected
[    5.571894] usb 1-1.4.1: new low-speed USB device number 4 using xhci-hcd
Starting udev
[    5.704381] usb 1-1.4.1: New USB device found, idVendor=04d9, idProduct=0006, bcdDevice= 1.10
[    5.712918] usb 1-1.4.1: New USB device strings: Mfr=1, Product=2, SerialNumber=0
[    5.720401] usb 1-1.4.1: Product: RPI Wired Keyboard 1
[    5.725537] usb 1-1.4.1: Manufacturer:
[    5.837039] input:   RPI Wired Keyboard 1 as /devices/platform/axi/ff9d0000.usb0/fe200000.dwc3/xhci-hcd.1.auto/usb1/1-1/1-1.4/1-1.4.1/1-1.4.1:1.0/0003:04D9:0006.0001/input/input0
[    5.899370] udevd[274]: starting version 3.2.9
[    5.912114] hid-generic 0003:04D9:0006.0001: input: USB HID v1.11 Keyboard [  RPI Wired Keyboard 1] on usb-xhci-hcd.1.auto-1.4.1/input0
[    5.934742] input:   RPI Wired Keyboard 1 as /devices/platform/axi/ff9d0000.usb0/fe200000.dwc3/xhci-hcd.1.auto/usb1/1-1/1-1.4/1-1.4.1/1-1.4.1:1.1/0003:04D9:0006.0002/input/input1
[    5.949634] random: udevd: uninitialized urandom read (16 bytes read)
[    5.958250] random: udevd: uninitialized urandom read (16 bytes read)
[    5.964724] random: udevd: uninitialized urandom read (16 bytes read)
[    6.007926] hid-generic 0003:04D9:0006.0002: input: USB HID v1.11 Device [  RPI Wired Keyboard 1] on usb-xhci-hcd.1.auto-1.4.1/input1
[    6.066657] udevd[275]: starting eudev-3.2.9
[    6.099768] usb 1-1.4.4: new low-speed USB device number 5 using xhci-hcd
[    6.208393] usb 1-1.4.4: New USB device found, idVendor=0458, idProduct=0186, bcdDevice=24.58
[    6.216941] usb 1-1.4.4: New USB device strings: Mfr=4, Product=40, SerialNumber=0
[    6.224530] usb 1-1.4.4: Product: Wired Mouse
[    6.228891] usb 1-1.4.4: Manufacturer: KYE SYSTEMS CORP.
[    6.276321] input: KYE SYSTEMS CORP. Wired Mouse as /devices/platform/axi/ff9d0000.usb0/fe200000.dwc3/xhci-hcd.1.auto/usb1/1-1/1-1.4/1-1.4.4/1-1.4.4:1.0/0003:0458:0186.0003/input/input2
[    6.311902] hid-generic 0003:0458:0186.0003: input: USB HID v1.11 Mouse [KYE SYSTEMS CORP. Wired Mouse] on usb-xhci-hcd.1.auto-1.4.4/input0
[    6.324773] usbhid 1-1.4.4:1.1: couldn't find an input interrupt endpoint
[    6.429812] zocl: loading out-of-tree module taints kernel.
[    6.439670] [drm] Probing for xlnx,zocl
[    6.443799] zocl-drm a0000000.zyxclmm_drm: IRQ index 32 not found
[    6.450112] [drm] FPGA programming device pcap founded.
[    6.455374] [drm] PR Isolation addr 0x0
[    6.479965] [drm] Initialized zocl 0.0.0 00000 for a0000000.zyxclmm_drm on minor 1
[    6.495932] [drm] Probing for xlnx,zocl
[    6.500159] zocl-drm amba_pl@0:zyxclmm_drm: IRQ index 32 not found
[    6.506530] [drm] FPGA programming device pcap founded.
[    6.511783] [drm] PR Isolation addr 0x0
[    6.512850] [drm] Initialized zocl 0.0.0 00000 for amba_pl@0:zyxclmm_drm on minor 2
[    7.803891] EXT4-fs (mmcblk1p2): re-mounted. Opts: (null)
bootlogd: /dev/ttyPS0Fri Mar  9 12:34:56 UTC 2018
Configuring packages on first boot....
 (This may take several minutes. Please do not power off the machine.)
Running postinst /etc/rpm-postinsts/100-libmali-xlnx...
Running postinst /etc/rpm-postinsts/101-zocl...
[   11.326428] zocl-drm a0000000.zyxclmm_drm: IRQ index 32 not found
[   11.333461] zocl-drm amba_pl@0:zyxclmm_drm: IRQ index 32 not found
Running postinst /etc/rpm-postinsts/102-sysvinit-inittab...
Running postinst /etc/rpm-postinsts/103-xrt...
update-rc.d: /etc/init.d/run-postinsts exists during rc.d purge (continuing)
 Removing any system startup links for run-postinsts ...
  /etc/rcS.d/S99run-postinsts
INIT: Entering runlevel: 5
Configuring network interfaces... udhcpc: started, v1.32.0
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending select for 192.168.13.95
udhcpc: lease of 192.168.13.95 obtained, lease time 3600
/etc/udhcpc.d/50default: Adding DNS 147.231.12.1
/etc/udhcpc.d/50default: Adding DNS 147.231.10.9
/etc/udhcpc.d/50default: Adding DNS 8.8.8.8
done.
Starting system message bus: dbus.
Starting random number generator daemon.
Starting haveged: haveged: command socket is listening at fd 3
haveged: haveged starting up


haveged: haveged: ver: 1.9.13; arch: generic; vend: ; build: (gcc 10.2.0 CTV); collect: 128K

haveged: haveged: cpu: (VC); data: 16K (D); inst: 16K (D); idx: 11/40; sz: 15456/64452

haveged: haveged: tot tests(BA8): A:1/1 B:1/1 continuous tests(B):  last entropy estimate 8.0008

haveged: haveged: fills: 0, generated: 0

Starting OpenBSD Secure Shell server: sshd
  generating ssh RSA host key...
  generating ssh ECDSA host key...
  generating ssh ED25519 host key...
done.
Starting Xserver
Starting rpcbind daemon...
done.
starting statd:
X.Org X Server 1.20.9
X Protocol Version 11, Revision 0
Build Operating System: Linux
Current Operating System: Linux petalinux 5.10.0-xilinx-v2021.2 #1 SMP Tue Oct 12 09:30:57 UTC 2021 aarch64
Kernel command line: earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/mmcblk1p2 rw rootwait cma=512M
Build Date: 25 August 2020  03:40:19PM

Current version of pixman: 0.40.0
        Before reporting problems, check http://wiki.x.org
        to make sure that you have the latest version.
Markers: (--) probed, (**) from config file, (==) default setting,
        (++) from command line, (!!) notice, (II) informational,
        (WW) warning, (EE) error, (NI) not implemented, (??) unknown.
(==) Log file: "/var/log/Xorg.0.log", Time: Fri Mar  9 12:35:23 2018
(==) Using config file: "/etc/X11/xorg.conf"
(==) Using system config directory "/usr/share/X11/xorg.conf.d"
done
starting Busybox HTTP Daemon: httpd... done.
Starting internet superserver: inetd.
NFS daemon support not enabled in kernel
Init Start
Run init.sh from SD card
Load SD Init Script
User bash Code can be insered here and put init.sh on SD
Init End
Starting syslogd/klogd: done
Starting internet superserver: xinetd.
Starting watchdog daemon...done
Starting tcf-agent: OK
D-BUS per-session daemon address is: unix:abstract=/tmp/dbus-uZ0pAaPepw,guid=6af8f307990e2343ab4cc7765aa27f8c

PetaLinux 2021.2 petalinux ttyPS0


root@petalinux:~# matchbox: Cant find a keycode for keysym 269025056
matchbox: ignoring key shortcut XF86Calendar=!$contacts

matchbox: Cant find a keycode for keysym 2809
matchbox: ignoring key shortcut telephone=!$dates

matchbox: Cant find a keycode for keysym 269025050
matchbox: ignoring key shortcut XF86Start=!matchbox-remote -desktop

[settings daemon] Forking. run with -n to prevent fork
dbus-daemon[1124]: Activating service name='org.a11y.atspi.Registry' requested by ':1.0' (uid=0 pid=1117 comm="matchbox-panel --start-applets showdesktop,windows")
dbus-daemon[1124]: Successfully activated service 'org.a11y.atspi.Registry'
SpiRegistry daemon is running with well-known name - org.a11y.atspi.Registry

** (matchbox-desktop:1116): WARNING **: 12:35:29.103: Error loading icon: Icon 'terminal' not present in theme Sato

root@petalinux:~# ifconfig
eth0      Link encap:Ethernet  HWaddr 54:10:EC:65:33:F6
          inet addr:192.168.13.95  Bcast:192.168.13.255  Mask:255.255.255.0
          inet6 addr: fe80::5610:ecff:fe65:33f6/64 Scope:Link
          UP BROADCAST RUNNING MULTICAST  MTU:1500  Metric:1
          RX packets:2 errors:0 dropped:0 overruns:0 frame:0
          TX packets:23 errors:0 dropped:0 overruns:0 carrier:0
          collisions:0 txqueuelen:1000
          RX bytes:658 (658.0 B)  TX bytes:4070 (3.9 KiB)
          Interrupt:39

lo        Link encap:Local Loopback
          inet addr:127.0.0.1  Mask:255.0.0.0
          inet6 addr: ::1/128 Scope:Host
          UP LOOPBACK RUNNING  MTU:65536  Metric:1
          RX packets:2 errors:0 dropped:0 overruns:0 frame:0
          TX packets:2 errors:0 dropped:0 overruns:0 carrier:0
          collisions:0 txqueuelen:1000
          RX bytes:140 (140.0 B)  TX bytes:140 (140.0 B)

root@petalinux:~# cd /media/sd-mmcblk1p1
root@petalinux:/media/sd-mmcblk1p1# ./test_vadd krnl_vadd.xclbin
INFO: Reading krnl_vadd.xclbin
Loading: 'krnl_vadd.xclbin'
Trying to program device[0]: edge
Device[0]: program successful!
TEST PASSED
root@petalinux:/media/sd-mmcblk1p1#
root@petalinux:/media/sd-mmcblk1p1# halt

INIT: Sending processes configured via /etc/inittab the TERM signal
root@petalinux:/media/sd-mmcblk1p1# Stopping haveged:

Stopping OpenBSD Secure Shell server: sshdstopped /usr/sbin/sshd (pid 973)
.
stopping Busybox HTTP Daemon: httpd... stopped httpd (pid 1001)
done.
Stopping system message bus: dbus.
Stopping internet superserver: inetd.
stopping mountd: done
stopping nfsd: done
 Stop.
Stopping syslogd/klogd: stopped syslogd (pid 1016)
stopped klogd (pid 1019)
done
Stopping tcf-agent: OK
Stopping internet superserver: xinetd.
Stopping XServer
xinit: connection to X server lost

waiting for X server to shut down dbus-daemon[1124]: Reloaded configuration
(II) Server terminated successfully (0). Closing log file.

xinit: unexpected signal 15
stopping statd: done
Stopping random number generator daemon.
Stopping rpcbind daemon...
done.
Stopping S.M.A.R.T. daemon: smartd.
Deconfiguring network interfaces... done.
Stopping watchdog daemon...Sending all processes the TERM signal...
logout
Sending all processes the KILL signal...
Unmounting remote filesystems...
Deactivating swap...
Unmounting local filesystems...
[  187.813113] reboot: Power down

  1. Petalinux boot,
  2. ifconfig to find assigned Ethernet address,
  3. test_vadd example executed to test the kernel execution,
  4. halt to proper terminate OS.

Test 3: Vitis-AI Demo


This test implements simple AI demo to verify DPU integration to our custom extensible platform. This tutorial follows Xilix Vitis Tutorial for zcu104 with necessary fixes and customizations required for our case.

Create and Build Vitis Design


Create new directory StarterKit_dpu_trd  to test Vitis extendable flow example “dpu trd”
~/work/TE0803_24_240/StarterKit_dpu_trd

Current directory structure:
~/work/TE0803_24_240/StarterKit
~/work/TE0803_24_240/StarterKit_pfm
~/work/TE0803_24_240/StarterKit_test_vadd
~/work/TE0803_24_240/StarterKit_dpu_trd

Change working directory:

$cd ~/work/TE0803_24_240/StarterKit_dpu_trd

In Ubuntu terminal, start Vitis by:

$ vitis &

In Vitis IDE Launcher, select your working directory
~/work/TE0803_24_240/StarterKit_dpu_trd
Click on Launch to start Vitis.

Add Vitis-AI Repository to Vitis

Open menu Window → Preferences

Go to Library Repository tab

Add Vitis-AI by clicking Add button and fill the form as shown below, use absolute path to your home folder in field "Location":

Click Apply and Close.

Field "Location" says that the Vitis-AI repository from github has been cloned into ~/vitis_ai_2_0 folder, already in the stage of Petalinux configuration. It is the same Vitis-AI 2.0 package downloaded from the branch 2.0. Use the absolute path to your home directory. It depends on the user name. The user name in the figure is "devel". Replace it by your user name.

Correctly added library appears in Libraries:

Open menu Xilinx → Libraries...

You can find there just added Vitis-AI library marked as "Installed".

Create a Vitis-AI Design for our TE0803_24_240 custom platform

Select File -> New -> Application project. Click Next.

Skip welcome page if it is shown.

Click on “+ Add” icon and select the custom extensible platform TE0803_24_240_pfm[custom] in the directory:
~/work/TE0803_24_240/StarterKit_pfm/TE0803_24_240_pfm/export/TE0803_24_240_pfm

We can see available PL clocks and frequencies.

PL4 with 240 MHz clock is has been set as default in the platform creation process.


Click Next.
In “Application Project Details” window type into Application project name: dpu_trd
Click Next.
In “Domain window” type (or select by browse):
“Sysroot path”:
~/work/TE0803_24_240/StarterKit_pfm/sysroots/cortexa72-cortexa53-xilinx-linux
“Root FS”:
~/work/TE0803_24_240/StarterKit/os/petalinux/images/linux/rootfs.ext4
“Kernel Image”:
~/work/TE0803_24_240/StarterKit/os/petalinux/images/linux/Image
Click Next.

In “Templates window”, if not done before, update “Vitis IDE Examples” and “Vitis IDE Libraries”.

In “Find”, type: “dpu” to search for the “DPU Kernel (RTL Kernel)” example.

Select: “DPU Kernel (RTL Kernel)

 Click Finish
New project template is created.

In dpu_trd window menu “Active build configuration” switch from “SW Emulation” to “Hardware”.

File dpu_conf.vh located at dpu_trd_kernels/src/prj/Vitis directory contains DPU configuration.

Open file dpu_conf.vh and change in line 37:

`define URAM_DISABLE 

to 

`define URAM_ENABLE 

and save modified file.

This modification is necessary for succsessfull implementation of the DPU on the zcu04-ev module with internal memories implemented in URAMs.

Go to dpu_trd_system_hw_link and double click on dpu_trd_system_hw_link.prj.

Remove sfm_xrt_top kernel from binary container by right clicking on it and choosing remove.

Reduce number of DPU kernels to one.

Configure connection of DPU kernels

On the same tab right click on dpu and choose Edit V++ Options 

Click "..." button on the line of V++ Configuration Settings and modify configuration as follows:

[clock]
freqHz=200000000:DPUCZDX8G_1.aclk
freqHz=400000000:DPUCZDX8G_1.ap_clk_2

[connectivity]
sp=DPUCZDX8G_1.M_AXI_GP0:HPC0
sp=DPUCZDX8G_1.M_AXI_HP0:HP0
sp=DPUCZDX8G_1.M_AXI_HP2:HP1
Update packaging to add dependencies into SD Card

Create a new folder img in your project in dpu_trd/src/app

Download image from provided link and place it to newly created folder dpu_trd/src/app/img.

Double click dpu_trd_system.sprj

Click "..." button on Packaging options

Enter "--package.sd_dir=../../dpu_trd/src/app"

Click OK.

Build DPU_TRD application

In “Explorer” section of Vitis IDE, click on:  dpu_trd_system[TE0803_24_240_pfm] to select it.

Right Click on:  dpu_trd_system[TE0803_24_240_pfm] and select in the opened sub-menu:
Build project

Run DPU_TRD on Board

Write sd_card.img to SD card using SD card reader.

The sd_card.img file is output of the compilation and packing by Vitis. It is located in directory:
~/work/TE0803_24_240/StarterKit_dpu_trd/dpu_trd_system/Hardware/package/

In Windows Pro 10 (or Windows 11 Pro) PC, inst all program Win32DiskImager  for this task. Win32 Disk Imager can write raw disk image to removable devices.
https://win32diskimager.org/

Boot the board and open terminal on the board either by connecting serial console connection, or by opening ethernet connection to ssh server on the board, or by opening terminal directly using window manager on board. Continue using the embedded board terminal.

Detailed guide how to run embedded board and connect to it can be found in Run Compiled Example Application for Vector Addition.

Check ext4 partition size by:

root@petalinux:~# cd /
root@petalinux:~# df .
Filesystem           1K-blocks      Used Available Use% Mounted on
/dev/root               564048    398340    122364  77% /

Resize partition

root@petalinux:~# resize-part /dev/mmcblk1p2
/dev/mmcblk1p2
Warning: Partition /dev/mmcblk1p2 is being used. Are you sure you want to continue?
parted: invalid token: 100%
Yes/No? yes
End?  [2147MB]? 100%
Information: You may need to update /etc/fstab.

resize2fs 1.45.3 (14-Jul-2019)
Filesystem at /dev/mmcblk1p2 is mounted on /media/sd-mmcblk1p2; o[   72.751329] EXT4-fs (mmcblk1p2): resizing filesystem from 154804 to 1695488 blocks
n-line resizing required
old_desc_blocks = 1, new_desc_blocks = 1
[   75.325525] EXT4-fs (mmcblk1p2): resized filesystem to 1695488
The filesystem on /dev/mmcblk1p2 is now 1695488 (4k) blocks long.

Check ext4 partition size again, you should see:

root@petalinux:~# df . -h
Filesystem                Size      Used Available Use% Mounted on
/dev/root                 6.1G    390.8M      5.4G   7% /
The available size would be different according to your SD card size.

Copy dependencies to home folder:

# Libraries
root@petalinux:~# cp -r /mnt/sd-mmcblk1p1/app/samples/ ~
# Model
root@petalinux:~# cp /mnt/sd-mmcblk1p1/app/model/resnet50.xmodel ~
# Host app
root@petalinux:~# cp /mnt/sd-mmcblk1p1/dpu_trd ~
# Images to test
root@petalinux:~# cp /mnt/sd-mmcblk1p1/app/img/*.JPEG ~

Run the application from /home/root folder and you can observe that "bell pepper" receives highest score.

root@petalinux:~# env XLNX_VART_FIRMWARE=/mnt/sd-mmcblk1p1/dpu.xclbin ./dpu_trd bellpeppe-994958.JPEG
score[945]  =  0.992235     text: bell pepper,
score[941]  =  0.00315807   text: acorn squash,
score[943]  =  0.00191546   text: cucumber, cuke,
score[939]  =  0.000904801  text: zucchini, courgette,
score[949]  =  0.00054879   text: strawberry,

The TEBF0808 carrier with TE0803-02-04eg-1e-2gb module is running the PetaLinux OS and drives simple version of an X11 GUI on monitor with Display Port. Application dpu_trd performing ResNet50 on the DPU.

The resnet50 is trained for recognition of 1000 different objects. 

The test board application reads the input figure and call the DPU with 8bit coefficients of the ResNet50 network.

The "bell pepper" object is recognised with high probability.

On board compilation of Vitis AI 2.0 demo

The application dpu_trd can be recompiled directly on the test board.

chmod 777 ./build.sh
./build.sh
Opencv4                   OpenCV - Open Source Computer Vision Library
  
root@petalinux:~# env XLNX_VART_FIRMWARE=/mnt/sd-mmcblk1p1/dpu.xclbin ./a.out bellpeppe-994958.JPEG
score[945]  =  0.992235     text: bell pepper,
score[941]  =  0.00315807   text: acorn squash,
score[943]  =  0.00191546   text: cucumber, cuke,
score[939]  =  0.000904801  text: zucchini, courgette,
score[949]  =  0.00054879   text: strawberry,

The result of on compilation on test board is aplication a.out.

It provides identical results to the dpu_trd application compiled in Vitis in the PC Ubuntu Vitis AI environment.

Only the C++ SW part of the application can be compiled on the test board. The HW acceleration part (the dpu kernel)
has to be compiled in Ubuntu Vitis AI 2.0 framework (with Vivado).

Additional Vitis AI 2.0 demos

Many additional demos from the Vitis AI 2.0 library can be compiled on the test board and executed on the test board with identical dpu.

Vitis AI 2.0 demos work in several modes:

  • From a image stored in a file with output in form of text to console or image displayed on the X11 desktop.
  • From sequence of image stored in several files with output in form of text to console or images displayed on the X11 deskto
  • From USB 2/3 www camera input  video with output in form video displayed on the X11 remote desktop.

Use of USB 2/3 www camera input  video requires the test board te0706-03 for correct recognition of the USB www camera.  

Starting point for exploration of these Vitis AI 2.0 examples is this Xilinx www page.

Vitis AI 2.0 is Here! (xilinx.com)

TE0820 modules with smaller programmable logic area without URAMs (all xzcu2 and zxcu3 modules ) with 2GB of DDR4 are supported only for the extensible flow applications like the test_vadd demo.

Starting point for exploration of Vitis acceleration flow is Vitis Accel Examples' Repository (project templates are already downloaded in Vitis):

GitHub - Xilinx/Vitis_Accel_Examples at 2021.2



Table of contents





App. A: Change History and Legal Notices


Document Change History

To get content of older revision go to "Change History" of this page and select older document revision number.

DateDocument Revision

Authors

Description

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

  • fixed link to Vitis AI Prepare Development Environment
  • corrected document history 
2022-10-27v.56

John Hartfiel

  • initial release
--all

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

--
Document change history.

  • No labels