Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Template Revision 2.2 - on construction

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"

HTML
<!-- tables have all same width (web max 1200px and pdf full page(640px), flexible width or fix width on menu for single column can be used as before) -->
<style>
.wrapped{
  width: 100% !important;
  max-width: 1200px !important;
 }
</style>

...

hiddentrue
idComments

Important General Note:

...

Export PDF to download, if vivado revision is changed!

Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

...

Figure template (note: inner scroll ignore/only only with drawIO object):


DateVersionChangesAuthor
2021-06-283.1.8
  • added boot process for Microblaze
  • minor typos, formatting
ma
2021-06-013.1.7
  • carrier reference note
jh
2021-05-043.1.6
  • removed zynq_ from zynq_fsbl
ma
2021-04-283.1.5
  • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
  • minor typos, formatting
ma
2021-04-273.1.4
  • Version History
    • changed from list to table
  • Design flow
    • removed step 5 from Design flow
    • changed link from TE Board Part Files to Vivado Board Part Flow
    • changed cmd shell from picture to codeblock
    • added hidden template for "Copy PetaLinux build image files", depending from hardware
    • added hidden template for "Power on PCB", depending from hardware
  • Usage update of boot process
  • Requirements - Hardware
    • added "*used as reference" for hardware requirements
  • all
    • placed a horizontal separation line under each chapter heading
    • changed title-alignment for tables from left to center
  • all tables
    • added "<project folder>\board_files" in Vivado design sources
ma

3.1.3
  • Design Flow
    • formatting
  • Launch
    • formatting
ma

3.1.2
  • minor typing corrections
  • replaced SDK by Vitis
  • changed from / to \ for windows paths
  • replaced <design name> by <project folder>
  • added "" for path names
  • added boot.src description
  • added USB for programming
ma

3.1.1
  • swapped order from prebuilt files
  • minor typing corrections
  • removed Win OS path length from Design flow, added as caution in Design flow
ma

3.1
  • Fix problem with pdf export and side scroll bar
  • update 19.2 to 20.2
  • add prebuilt content option


3.0
  • add fix table of content
  • add table size as macro
  • removed page initial creator


Custom_table_size_100


Page properties
hiddentrue
idComments

Important General Note:

  • Export PDF to download, if vivado revision is changed!

  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)
      • Figure template (note: inner scroll ignore/only only with drawIO object):

        Scroll Title
        anchorFigure_xyz
        titleText


        Scroll Ignore

        Create DrawIO object here: Attention if you copy from other page, use


        Scroll Only

        image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



      • Table template:

        • Layout macro can be use for landscape of large tables
        • Set column width manually(can be used for small tables to fit over whole page) or leave empty (automatically)

      • Scroll Title
        anchorTable_xyz
        titleText

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        ExampleComment
        12



  • ...


Scroll pdf ignore

Table of contents

Table of Contents
outlinetrue

Overview

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue


Page properties
hiddentrue
idComments

Notes :

Zynq Design PS with Linux and  two Ethernet PHYs connected over EMIO and PL.

Refer to http://trenz.org/te0728-info for the current online version of this manual and other available documentation.

Key Features

...

anchorFigure_xyz
titleText
Scroll Ignore

Create DrawIO object here: Attention if you copy from other page, use

Scroll Only

image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed

...

Table template:

  • Layout macro can be use for landscape of large tables
  • Set column width manually(can be used for small tables to fit over whole page) or leave empty (automatically)

...

anchorTable_xyz
titleText

...

Scroll pdf ignore

Table of contents

Table of Contents
outlinetrue

Overview

Page properties
hiddentrue
idComments

Notes :

Zynq Design PS with Linux and  two Ethernet PHYs connected over EMIO and PL.

Refer to http://trenz.org/te0728-info for the current online version of this manual and other available documentation.

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design
Excerpt
  • PetaLinux
  • SD
  • 2x ETH (Independent MDIO Interface and DP83848 PHY)
  • I2C
  • RTC
  • Special FSBL for QSPI programming

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download
  • add design changes on description

...

anchorTable_DRH
titleDesign Revision History

...

  • rework board part files
  • rework petalinux device tree, driver
  • small changes on xdc

...

  • initial release

...

Page properties
hiddentrue
idComments

Notes :

...

  • Add basic key futures, which can be tested with the design


Excerpt
  • Vitis/Vivado 2020.2
  • PetaLinux
  • SD
  • 2x ETH (Independent MDIO Interface and DP83848 PHY)
  • I2C
  • RTC
  • Special FSBL for QSPI programming

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download
  • add design changes on description


Scroll Title
anchorTable_DRH
title-alignmentcenter
titleDesign Revision History

...

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

...

Date

...

Vivado

...

Project BuiltAuthorsDescription
2021-11-032020.2TE0728-test_board-vivado_2020.2-build_8_20211103093707.zip
TE0728-test_board_noprebuilt-vivado_2020.2-build_8_20211103093732.zip
Mohsen Chamanbaz
  • 2020.2 release
2018-12-12

...

...

Linux Message: "macb ... .ethernet eth...: unable to generate target frequency: 25000000 Hz"

...

2018.2TE0728-test_board-vivado_2018.2-build_03_20181212131950.zip
TE0728-test_board_noprebuilt-vivado_2018.2-build_03_20181212134902.zip
John Hartfiel
  • rework board part files
  • rework petalinux device tree, driver
  • small changes on xdc
2017-10-062017.2TE0728-test_board_noprebuilt-vivado_2017.2-build_03_20171006103655.zip
TE0728-test_board-vivado_2017.2-build_03_20171006103634.zip
John Hartfiel
  • initial release


Release Notes and Know Issues

Requirements

...

Page properties
hiddentrue
idComments
Notes :

    ...

    • add known Design issues and general notes for the current revision
    • do not delete known issue, add fixed version time stamp if  issue fixed


    Scroll Title
    anchorTable_

    ...

    KI
    title-alignmentcenter
    title

    ...

    Known Issues

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Issues

    ...

    Description

    ...

    WorkaroundTo be fixed version
    Wrong UBoot ETH PHY AddressPHY Address is not set correctly for UBoot---solved with 2018-12-12 update

    Linux Message: "macb ... .ethernet eth...: unable to generate target frequency: 25000000 Hz"

    This can be ignored, ETH works.------


    Requirements

    Software

    ...

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design

    ...


    Scroll Title
    anchorTable_SW
    title-alignmentcenter
    titleSoftware

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on <design name>/board_files/*_board_files.csv

    Design supports following modules:

    ...

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    SoftwareVersionNote
    Vitis2020.2needed, Vivado is included into Vitis installation
    Petalinux2020.2needed
    SI ClockBuider Pro---optional



    Hardware

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on <design name>/board_files/*_board_files.csv

    Design supports following modules

    ...

    :

    Scroll Title
    anchorTable_

    ...

    HWM
    title-alignmentcenter
    titleHardware

    ...

    Modules

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Module Model

    ...

    Board Part Short NamePCB Revision SupportDDRQSPI FlashOthersNotes
    TE0728-03-1Q03_1qREV01, REV02, REV03512MB16MB

    TE0728-04-1Q*04_1qREV04512MB16MB

    *used as reference


    Design supports following carriers

    ...

    :

    Scroll Title
    anchorTable_

    ...

    HWC
    title-alignmentcenter
    title

    ...

    Hardware Carrier

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    ...

    Carrier ModelNotes

    ...

    Content

    TEB0728



    Additional HW Requirements:

    Scroll Title
    anchorTable_AHW
    title-alignmentcenter
    titleAdditional Hardware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Additional HardwareNotes
    USB Cable for JTAG/UART
    TE0790 XMOD Programmer


    Content

    Page properties
    hiddentrue
    idComments

    Notes :

    • content of the zip file


    For general structure and of the reference design, see Project Delivery - Xilinx devices

    Design Sources

    Scroll Title
    anchorTable_DS
    title-alignmentcenter
    titleDesign sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    Vivado

    ...

    <project folder>\block_design

    ...

    <project folder>\constraints

    ...

    <project folder>\ip_lib
    <project folder>\board_files

    Vivado Project will be generated by TE Scripts

    ...

    Vitis<project folde>\

    ...

    sw_libAdditional Software Template for

    ...

    Vitis and apps_list.csv with settings automatically for

    ...

    Vitis app generation
    PetaLinux

    ...

    <project folder>\os\petalinuxPetaLinux template with current configuration



    Additional Sources

    Scroll Title
    anchorTable_ADS
    title-alignmentcenter
    titleAdditional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    init.sh

    ...

    <project folder>\misc\sd\Additional Initialization Script for Linux



    Prebuilt

    Page properties
    hiddentrue
    idComments

    Notes :

    • prebuilt files
    • Template Table:

      • Scroll Title
        anchorTable_PF
        title-alignmentcenter
        titlePrebuilt files

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        File

        File-Extension

        Description

        BIF-File*.bifFile with description to generate Bin-File
        BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
        BIT-File*.bitFPGA (PL Part) Configuration File
        Boot Source*.scr

        Distro Boot file

        DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

        Debian SD-Image

        *.img

        Debian Image for SD-Card

        Diverse Reports---Report files in different formats
        Hardware-Platform-

    ...

      • Description-

    ...

      • File*.

    ...

      • xsaExported Vivado

    ...

      • hardware description file for Vitis and PetaLinux
        LabTools Project-File*.lprVivado Labtools Project File

        MCS-File

        *.mcs

        Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

        MMI-File

        *.mmi

        File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

        OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
        Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

        SREC-File

        *.srec

        Converted Software Application for MicroBlaze Processor Systems





    Scroll Title
    anchorTable_PF
    title-alignmentcenter
    titlePrebuilt files (only on ZIP with prebult content)

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File

    File-Extension

    Description

    BIF-File*.bifFile with description to generate Bin-File
    BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
    BIT-File*.bitFPGA (PL Part) Configuration File
    Diverse Reports---Report files in different formats
    Hardware-Platform-

    ...

    Description-

    ...

    File*.

    ...

    xsaExported Vivado

    ...

    hardware description file for Vitis and PetaLinux
    LabTools Project-File*.lprVivado Labtools Project File
    OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
    Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems


    Download

    Reference Design is only usable with the specified Vivado/

    ...

    Vitis/PetaLinux

    ...

    version. Do never use different Versions of Xilinx Software for the same Project.

    Page properties
    hiddentrue
    idComments


    Reference Design is available on:

    Design Flow

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Notes :
    • Basic Design Steps

    • Add/ Remove project specific description


    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first lunch.

    MIO Bank 501 Power is Carrier depends and set to 3.3V. Please check Settings, if you use a own carrier.

    Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/

    ...

    Vitis GUI.  For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

     

    ...

    Note

    Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations

    ...

    for the reference design (for example "x:\

    ...

    1. Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"
      Note: Select correct one, see TE Board Part Files

    ...

    1. Run on Vivado TCL: TE::hw_build_design -export_prebuilt
      Note: Script generate design and export files into \prebuilt\hardware\<short dir>. Use GUI is the same, except file export to prebuilt folder

    ...

    1. HDF is exported to "prebuilt\hardware\<short name>"
      Note: HW Export from Vivado GUI create another path as default workspace.
    2. Create Linux images on VM, see PetaLinux KICKstart
      1. Use TE Template from /os/petalinux

    ...

    1. "prebuilt\os\petalinux\default" or "prebuilt\os\petalinux\<short name>"
      Notes: Scripts select "prebuilt\os\petalinux\<short name>", if exist, otherwise "prebuilt\os\petalinux\default"

    ...

    1. Run on Vivado TCL: TE::sw_run_hsi
      Note: Scripts generate applications and bootable files, which are defined in "sw_lib\apps_list.csv"
    2. (alternative) Start SDK with Vivado GUI or start with TE Scripts on Vivado TCL: TE::sw_run_sdk
      Note: See SDK Projects

    <project folder>")

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

      Code Block
      languagebash
      themeMidnight
      title_create_win_setup.cmd/_create_linux_setup.sh
      ------------------------Set design paths----------------------------
      -- Run Design with: _create_win_setup
      -- Use Design Path: <absolute project path>
      --------------------------------------------------------------------
      -------------------------TE Reference Design---------------------------
      --------------------------------------------------------------------
      -- (0)  Module selection guide, project creation...prebuilt export...
      -- (1)  Create minimum setup of CMD-Files and exit Batch
      -- (2)  Create maximum setup of CMD-Files and exit Batch
      -- (3)  (internal only) Dev
      -- (4)  (internal only) Prod
      -- (c)  Go to CMD-File Generation (Manual setup)
      -- (d)  Go to Documentation (Web Documentation)
      -- (g)  Install Board Files from Xilinx Board Store (beta)
      -- (a)  Start design with unsupported Vivado Version (beta)
      -- (x)  Exit Batch (nothing is done!)
      ----
      Select (ex.:'0' for module selection guide):


    2. Press 0 and enter to start "Module Selection Guide"
    3. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
      • optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"


        Note

        Note: Select correct one, see also Vivado Board Part Flow


    4. Create Project
      1. Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"
        Note: Select correct one, see TE Board Part Files
    5. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
      TE::hw_build_design -export_prebuilt


      Info

      Using Vivado GUI is the same, except file export to prebuilt folder.


    6. Create and configure your PetaLinux project with exported .xsa-file, see PetaLinux KICKstart
      • use TE Template from "<project folder>\os\petalinux"
      • use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . Note: HW Export from Vivado GUI creates another path as default workspace.

      • The build images are located in the "<plnx-proj-root>/images/linux" directory

    7. Configure the boot.scr file as needed, see Distro Boot with Boot.scr

    8. Copy PetaLinux build image files to prebuilt folder
      • copy u-boot.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        Info

        "<project folder>\prebuilt\os\petalinux\<ddr size>" or "<project folder>\prebuilt\os\petalinux\<short name>"


        Page properties
        hiddentrue
        idComments

        This step depends on Xilinx Device/Hardware

        for Zynq-7000 series

        • copy u-boot.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for ZynqMP

        • copy u-boot.elf, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

        for ...

        • ...


    9. Generate Programming Files with Vitis

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
      TE::sw_run_vitis -all
      TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


      Note

      TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis


    Launch

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure

    Programming

    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.


    Xilinx documentation for programming and debugging: Vivado/SDK/SDSoC-Xilinx Software Programming and Debugging

    Get prebuilt boot binaries

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
    2. Press 0 and enter to start "Module Selection Guide"
      1. Select assembly version
      2. Validate selection
      3. Select create and open delivery binary folder

        Info

        Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated


    QSPI-Boot mode

    Option for Boot.bin on QSPI Flash and image.ub and boot.scr on SD or USB.

    1. Connect JTAG and power on carrier with module
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script programs BOOT.bin on QSPI flash)
      TE::pr_program_flash -swapp u-boot
      TE::pr_program_flash -swapp hello_te0820 (optional)


      Note

      To program with Vitis/Vivado GUI, use special FSBL (fsbl_flash) on setup


    3. Copy image.ub and boot.scr on SD or USB
      • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder,see: Get prebuilt boot binaries
      • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
    4. Set Boot Mode to QSPI-Boot and insert SD or USB.
      • Depends on Carrier, see carrier TRM.

    SD-Boot mode

    1. Copy image.ub, boot.src and Boot.bin on SD
      • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder, see: Get prebuilt boot binaries
      • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
    2. Set Boot Mode to SD-Boot.
      • Depends on Carrier, see carrier TRM.
    3. Insert SD-Card in SD-Slot.

    JTAG

    Not used on this Example.

    Usage

    1. Prepare HW like described on section Programming
    2. Connect UART USB (most cases same as JTAG)
    3. Select SD Card as Boot Mode (or QSPI - depending on step 1)

      Info

      Note: See TRM of the Carrier, which is used.


      Tip

      Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.
      The boot options described above describe the common boot processes for this hardware; other boot options are possible.
      For more information see Distro Boot with Boot.scr


    4. Power On PCB

      Expand
      titleboot process

      1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init PS, programs PL using the bitstream and loads U-boot from SD into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      Page properties
      hiddentrue
      idComments

      This step depends on Xilinx Device/Hardware

      for Zynq-7000 series

      1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for ZynqMP???

      1. ZynqMP Boot ROM loads FSBL from SD/QSPI into OCM,

      2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,

      3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


      for Microblaze

      1. FPGA Loads Bitfile from Flash,

      2. MCS Firmware configure SI5338 and starts Microblaze,

      3. SREC Bootloader from Bitfile Firmware loads U-Boot into DDR (This takes a while),

      4. U-boot loads Linux from QSPI Flash into DDR


      for native FPGA

      ...


    Linux

    1. Open Serial Console (e.g. putty)
      • Speed: 115200
      • select COM Port

        Info

        Win OS, see device manager, Linux OS see dmesg |grep tty (UART is *USB1)


    2. Linux Console:

      Code Block
      languagebash
      themeMidnight
      petalinux login: root
      Password: root


      Info

      Note: Wait until Linux boot finished


    3. You can use Linux shell now.

      Code Block
      languagebash
      themeMidnight
      i2cdetect -y -r 0	              (check I2C 0 Bus)
      dmesg | grep rtc	              (RTC check)
      udhcpc				              (ETH0/ETH1 check)
      cd /etc/init.d/networking restart (Network setting can be reset if it is necessary)
      ifconfig            (It is visible that both ethernet devices eth0 and eth1 have their own IP address.)



    System Design - Vivado

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    title-alignmentcenter
    titleBlock Design


    Image Added



    PS Interfaces

    Page properties
    hiddentrue
    idComments

    Note:

    • optional for Zynq / ZynqMP only

    • add basic PS configuration


    Scroll Title
    anchorTable_PSI
    title-alignmentcenter
    titlePS Interfaces

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeNote
    DDR---
    QSPIMIO
    CAN1MIO
    ETH0EMIO
    ETH1EMIO
    SD0MIO
    UART1MIO
    I2C0MIO
    SPI1MIO
    CAN1MIO
    GPIOMIO
    WDTEMIO
    TTC0..1EMIO


    Constrains

    Basic module constrains

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    #
    # Common bitgen related settings
    #
    
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property CFGBVS VCCO [current_design]
    
    set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design]

    Design specific constrain

    Code Block
    languageruby
    title_i_eth.xdc
    linenumberstrue
    collapsetrue
    #############
    #ETH0/ETH1
    #####
    #pwr_down
    set_property PACKAGE_PIN L21 [get_ports {PHY_PD[0]}]
    set_property PACKAGE_PIN R20 [get_ports {PHY_PD[1]}]
    #rst_n
    set_property PACKAGE_PIN M15 [get_ports {PHY_RSTN[0]}]
    set_property PACKAGE_PIN R16 [get_ports {PHY_RSTN[1]}]
    #io standard
    set_property IOSTANDARD LVCMOS33 [get_ports {PHY*}]
    set_property IOSTANDARD LVCMOS33 [get_ports MDIO_*]
    set_property IOSTANDARD LVCMOS33 [get_ports {MII_*}]
    #pullup/down for PHY address 1
    set_property PULLUP   true [get_ports MII_col]
    set_property PULLDOWN true [get_ports {MII_rxd[0]}]
    set_property PULLDOWN true [get_ports {MII_rxd[1]}]
    set_property PULLDOWN true [get_ports {MII_rxd[2]}]
    set_property PULLDOWN true [get_ports {MII_rxd[3]}]
    #pullup/down for PHY address 3
    set_property PULLUP true [get_ports MII_1_col]
    set_property PULLUP true [get_ports {MII_1_rxd[0]}]
    set_property PULLDOWN true [get_ports {MII_1_rxd[1]}]
    set_property PULLDOWN true [get_ports {MII_1_rxd[2]}]
    set_property PULLDOWN true [get_ports {MII_1_rxd[3]}]
    
    #############
    #ETH0
    #####
    set_property PACKAGE_PIN M16 [get_ports MDIO_ETHERNET_0_mdio_io]
    set_property PACKAGE_PIN P16 [get_ports MDIO_ETHERNET_0_mdc]
    set_property PACKAGE_PIN M22 [get_ports {MII_txd[3]}]
    set_property PACKAGE_PIN K21 [get_ports {MII_txd[2]}]
    set_property PACKAGE_PIN M17 [get_ports {MII_txd[1]}]
    set_property PACKAGE_PIN J22 [get_ports {MII_txd[0]}]
    set_property PACKAGE_PIN J20 [get_ports {MII_rxd[3]}]
    set_property PACKAGE_PIN J18 [get_ports {MII_rxd[2]}]
    set_property PACKAGE_PIN K18 [get_ports {MII_rxd[1]}]
    set_property PACKAGE_PIN L17 [get_ports {MII_rxd[0]}]
    set_property PACKAGE_PIN L16 [get_ports MII_col]
    set_property PACKAGE_PIN N15 [get_ports MII_crs]
    set_property PACKAGE_PIN L18 [get_ports MII_rx_clk]
    set_property PACKAGE_PIN P15 [get_ports MII_rx_dv]
    set_property PACKAGE_PIN P17 [get_ports MII_rx_er]
    set_property PACKAGE_PIN K19 [get_ports MII_tx_clk]
    set_property PACKAGE_PIN J21 [get_ports MII_tx_en]
    
    #############
    #ETH1
    #####
    set_property PACKAGE_PIN T16 [get_ports MDIO_ETHERNET_1_mdio_io]
    set_property PACKAGE_PIN T17 [get_ports MDIO_ETHERNET_1_mdc]
    set_property PACKAGE_PIN R21 [get_ports {MII_1_txd[3]}]
    set_property PACKAGE_PIN P22 [get_ports {MII_1_txd[2]}]
    set_property PACKAGE_PIN P21 [get_ports {MII_1_txd[1]}]
    set_property PACKAGE_PIN N22 [get_ports {MII_1_txd[0]}]
    set_property PACKAGE_PIN T19 [get_ports {MII_1_rxd[3]}]
    set_property PACKAGE_PIN T18 [get_ports {MII_1_rxd[2]}]
    set_property PACKAGE_PIN R19 [get_ports {MII_1_rxd[1]}]
    set_property PACKAGE_PIN R18 [get_ports {MII_1_rxd[0]}]
    set_property PACKAGE_PIN P20 [get_ports MII_1_col]
    set_property PACKAGE_PIN N18 [get_ports MII_1_crs]
    set_property PACKAGE_PIN M19 [get_ports MII_1_rx_clk]
    set_property PACKAGE_PIN N17 [get_ports MII_1_rx_dv]
    set_property PACKAGE_PIN P18 [get_ports MII_1_rx_er]
    set_property PACKAGE_PIN N19 [get_ports MII_1_tx_clk]
    set_property PACKAGE_PIN M21 [get_ports MII_1_tx_en]
    
    

    Software Design - Vitis

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps



    For Vitis project creation, follow instructions from:

    Vitis

    Application

    Page properties
    hiddentrue
    idComments

    ----------------------------------------------------------

    FPGA Example

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 2020.2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 2020.2 xilisf_v5_11

    • Changed default Flash type to 5.

    ----------------------------------------------------------

    Zynq Example:

    fsbl

    TE modified 2020.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY

    fsbl_flash

    TE modified 2020.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified 2020.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_fsbl_flash

    TE modified 2020.2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation


    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Template location: "<project folder>\sw_lib\sw_apps\"

    zynq_fsbl

    TE modified 2020.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • only active FSBL banner independence form debug flags

    zynq_fsbl_flash

    TE modified 2020.2 FSBL

    FSBL(for Vivado/Vitis GUI only) to initialise Zynq for QSPI programming

    General:

    • Modified Files: main.c
    • General Changes:
      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    hello_te0728

    Hello TE0728 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Software Design -  PetaLinux

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Launch

    Programming

    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure
    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Xilinx documentation for programming and debugging: Vivado/SDK/SDSoC-Xilinx Software Programming and Debugging

    QSPI

    Optional for Boot.bin on QSPI Flash and image.ub on SD.

    1. Connect JTAG and power on carrier with module
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"
    3. Type on Vivado TCL Console: TE::pr_program_flash_binfile -swapp u-boot
      Note: To program with SDK/Vivado GUI, use special FSBL (zynqmp_fsbl_flash) on setup
               optional "TE::pr_program_flash_binfile -swapp hello_te0728" possible
    4. Copy image.ub on SD-Card
      • For correct prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
    5. Insert SD-Card

    SD

    1. Copy image.ub and Boot.bin on SD-Card.
      • For correct prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
    2. Set Boot Mode to SD-Boot.
      • Depends on Carrier, see carrier TRM.
    3. Insert SD-Card in SD-Slot.

    JTAG

    Not used on this Example.

    Usage

    1. Prepare HW like described on section 43680477
    2. Connect UART USB (most cases same as JTAG)
    3. Select SD Card as Boot Mode
      Note: See TRM of the Carrier, which is used.
    4. Power On PCB
      Note: 1. Zynq Boot ROM loads FSBL from SD into OCM, 2. FSBL loads U-boot from SD into DDR, 3. U-boot load Linux from SD into DDR

    Linux

    1. Open Serial Console (e.g. putty)
      1. Speed: 115200
      2. COM Port: Win OS, see device manager, Linux OS see  dmesg |grep tty  (UART is *USB1)
    2. Linux Console:
      Note: Wait until Linux boot finished For Linux Login use:
      1. User Name: root
      2. Password: root
    3. You can use Linux shell now.
      1. I2C 0 Bus type: i2cdetect -y -r 0
      2. ETH0 works with udhcpc
      3. ETH1 must be configured manually
        1. ifconfig eth1 up
        2. ifconfig eth1 <ip>
          Note for Ping test disable ETH0
      4. RTC check: dmesg | grep rtc

     

    System Design - Vivado

    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Scroll Title
    anchorFigure_BD
    titleBlock Design
    Image Removed

    PS Interfaces

    ...

    anchorTable_PSI
    titlePS Interfaces

    ...

    Constrains

    Basic module constrains

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    #
    # Common bitgen related settings
    #
    
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property CFGBVS VCCO [current_design]
    
    set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design]

    Design specific constrain

    Code Block
    languageruby
    title_i_eth.xdc
    linenumberstrue
    collapsetrue
    
    #############
    #ETH0/ETH1
    #####
    #pwr_down
    set_property PACKAGE_PIN L21 [get_ports {PHY_PD[0]}]
    set_property PACKAGE_PIN R20 [get_ports {PHY_PD[1]}]
    #rst_n
    set_property PACKAGE_PIN M15 [get_ports {PHY_RSTN[0]}]
    set_property PACKAGE_PIN R16 [get_ports {PHY_RSTN[1]}]
    #io standard
    set_property IOSTANDARD LVCMOS33 [get_ports {PHY*}]
    set_property IOSTANDARD LVCMOS33 [get_ports MDIO_*]
    set_property IOSTANDARD LVCMOS33 [get_ports {MII_*}]
    #pullup/down for PHY address 1
    set_property PULLUP   true [get_ports MII_col]
    set_property PULLDOWN true [get_ports {MII_rxd[0]}]
    set_property PULLDOWN true [get_ports {MII_rxd[1]}]
    set_property PULLDOWN true [get_ports {MII_rxd[2]}]
    set_property PULLDOWN true [get_ports {MII_rxd[3]}]
    #pullup/down for PHY address 3
    set_property PULLUP true [get_ports MII_1_col]
    set_property PULLUP true [get_ports {MII_1_rxd[0]}]
    set_property PULLDOWN true [get_ports {MII_1_rxd[1]}]
    set_property PULLDOWN true [get_ports {MII_1_rxd[2]}]
    set_property PULLDOWN true [get_ports {MII_1_rxd[3]}]
    
    #############
    #ETH0
    #####
    set_property PACKAGE_PIN M16 [get_ports MDIO_ETHERNET_0_mdio_io]
    set_property PACKAGE_PIN P16 [get_ports MDIO_ETHERNET_0_mdc]
    set_property PACKAGE_PIN M22 [get_ports {MII_txd[3]}]
    set_property PACKAGE_PIN K21 [get_ports {MII_txd[2]}]
    set_property PACKAGE_PIN M17 [get_ports {MII_txd[1]}]
    set_property PACKAGE_PIN J22 [get_ports {MII_txd[0]}]
    set_property PACKAGE_PIN J20 [get_ports {MII_rxd[3]}]
    set_property PACKAGE_PIN J18 [get_ports {MII_rxd[2]}]
    set_property PACKAGE_PIN K18 [get_ports {MII_rxd[1]}]
    set_property PACKAGE_PIN L17 [get_ports {MII_rxd[0]}]
    set_property PACKAGE_PIN L16 [get_ports MII_col]
    set_property PACKAGE_PIN N15 [get_ports MII_crs]
    set_property PACKAGE_PIN L18 [get_ports MII_rx_clk]
    set_property PACKAGE_PIN P15 [get_ports MII_rx_dv]
    set_property PACKAGE_PIN P17 [get_ports MII_rx_er]
    set_property PACKAGE_PIN K19 [get_ports MII_tx_clk]
    set_property PACKAGE_PIN J21 [get_ports MII_tx_en]
    
    #############
    #ETH1
    #####
    set_property PACKAGE_PIN T16 [get_ports MDIO_ETHERNET_1_mdio_io]
    set_property PACKAGE_PIN T17 [get_ports MDIO_ETHERNET_1_mdc]
    set_property PACKAGE_PIN R21 [get_ports {MII_1_txd[3]}]
    set_property PACKAGE_PIN P22 [get_ports {MII_1_txd[2]}]
    set_property PACKAGE_PIN P21 [get_ports {MII_1_txd[1]}]
    set_property PACKAGE_PIN N22 [get_ports {MII_1_txd[0]}]
    set_property PACKAGE_PIN T19 [get_ports {MII_1_rxd[3]}]
    set_property PACKAGE_PIN T18 [get_ports {MII_1_rxd[2]}]
    set_property PACKAGE_PIN R19 [get_ports {MII_1_rxd[1]}]
    set_property PACKAGE_PIN R18 [get_ports {MII_1_rxd[0]}]
    set_property PACKAGE_PIN P20 [get_ports MII_1_col]
    set_property PACKAGE_PIN N18 [get_ports MII_1_crs]
    set_property PACKAGE_PIN M19 [get_ports MII_1_rx_clk]
    set_property PACKAGE_PIN N17 [get_ports MII_1_rx_dv]
    set_property PACKAGE_PIN P18 [get_ports MII_1_rx_er]
    set_property PACKAGE_PIN N19 [get_ports MII_1_tx_clk]
    set_property PACKAGE_PIN M21 [get_ports MII_1_tx_en]
    
    

    ...



    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"


    For

    ...

    PetaLinux installation and project creation, follow instructions from:

    ...

    SDK Projects

    Application

    Template location: ./sw_lib/sw_apps/

    zynq_fsbl

    TE modified 2018.2 FSBL

    Xilinx default FSBL,

    Changes::

    • only active FSBL banner independence form debug flags

    zynq_fsbl_flash

    TE modified 2018.2 FSBL

    FSBL(for Vivado/SDK GUI only) to initialise Zynq for QSPI programming

    Changes:

    • Set FSBL Boot Mode to JTAG
    • Disable Memory initialisation
    • see  xfsbl_initialisation.main.c

    u-boot

    U-Boot.elf is generated with PetaLinux. SDK/HSI is used to generate Boot.bin.

    Software Design -  PetaLinux

    HTML
    <!--
    optional chapter
      -->

    Description currently not available.

    Config

    No changes.

    U-Boot

    No changes.

    Device Tree

    ...

    languagejs

    ...

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    • No changes.

    U-Boot

    Start with petalinux-config -c u-boot

    Changes:

    • No changes.

    Device Tree

    Code Block
    languagejs
    /include/ "system-conf.dtsi"
    / {
    };
     
    /* QSPI PHY */
    &qspi {
        #address-cells = <1>;
        #size-cells = <0>;
        status = "okay";
        flash0: flash@0 {
            compatible = "jedec,spi-nor";
            reg = <0x0>;
            #address-cells = <1>;
            #size-cells = <1>;
        };
    };
     
    
    /* SDIO */
     
    &sdhci0 {
       disable-wp;
    };
     
    
    /* ETH PHY */
     
    &gem0{
        status = "okay";
        phy-mode = "mii";
        phy-handle = <&phy1>;
        xlnx,has-mdio = <0x1>;
        mdio {
            #address-cells = <1>;
            #size-cells = <0>;
        

    ...

     

    ...

     

    ...

      

    ...

    phy1: 

    ...

    phy@1 {
            

    ...

        device_type = "

    ...

    ethernet-

    ...

    phy";
                

    ...

    compatible = 

    ...

    "ethernet-phy-id2000.5C90";
            

    ...

    max-

    ...

    speed = 

    ...

    <0x64>;
            

    ...

        reg = <1>;
            };
        };
    };
     
    

    ...

     

    ...

    
    

    ...

    &

    ...

    gem1{

    ...

    
        status = "okay";
        phy-mode = "mii";
        phy-handle = <&

    ...

    phy3>;

    ...

    
        xlnx,has-mdio = <0x1>;

    ...

    
        mdio {

    ...

    
            #address-cells = <1>;

    ...

    
            #size-cells = <0>;

    ...

    
            

    ...

    phy3: 

    ...

    phy@3 {

    ...

    
                device_type = "ethernet-phy";

    ...

    
            

    ...

    compatible = "ethernet-phy-id2000.5C90";
            max-speed = <0x64>;
                reg = 

    ...

    <3>;

    ...

    
            };

    ...

    
        };

    ...

    
    };

    ...

    
    

    ...

     
    

    ...

     

    ...

    
    /* RTC */
    &i2c0 {
        

    ...

    rtc@56 { 

    ...

           

    ...

    // Real Time Clock
           compatible 

    ...

    = 

    ...

    "rv3029c2";

    ...

    
           

    ...

    reg = <0x56>;
       };
      
    };   

    ...

       

    FSBL patch

    Must be add manually, see template

    Kernel

    Start with petalinux-config -c kernel

    Changes:

    • RTC_DRV_RV3029C2=y
    • DP83848_PHY=y

    Rootfs

    Start with petalinux-config -c rootfs

    Changes:

    • I2C-tools=y
    • CONFIG_util-linux-mount=y
    • CONFIG_util-linux-umount=y
    • busybox-httpd = y
    • CONFIG_util-linux-umount=y
    • CONFIG_util-linux-mount=y

    Applications

    See "<project folder>\os\petalinux\project-spec\meta-user\recipes-apps\"

    startup

    Script App to load init.sh from SD Card if available.

    See: \os\petalinux\project-spec\meta-user\recipes-apps\startup\files

    webfwu

    Webserver application suitable for Zynq access. Need busybox-httpd

    See: \os\petalinux\project-spec\meta-user\recipes-apps\webfwu\files


    Additional Software

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Kernel

    Activate:

    • RTC_DRV_RV3029C2
    • DP83848_PHY

    Rootfs

    Activate:

    • I2C-tools

    Applications

    startup

    Script App to load init.sh from SD Card if available.

    See: \os\petalinux\project-spec\meta-user\recipes-apps\startup\files

    ...



    Page properties
    hiddentrue
    idComments
    Note:
    • Add description for other Software, for example SI CLK Builder ...
    • SI5338 and SI5345 also Link to:

    No additional software is needed.

    Appx. A: Change

    ...

    History and Legal Notices

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Document Change History

    To get content of older revision  got to "Change History"  of this page and select older document revision number.

    ...

    Page properties
    hiddentrue
    idComments
    • Note this list must be only updated, if the document is online on public doc!
    • It's semi automatically, so do following
      • Add new row below first

      • Copy "Page Information Macro (date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

      • Metadata is only used of compatibility of older exports

    Scroll Title
    anchorTable_dch
    title-alignmentcenter
    titleDocument change history.

    ...


    DateDocument RevisionAuthorsDescription

    Page info
    modified-date
    modified-date
    dateFormatyyyy-MM-dd

    Page info
    infoTypeCurrent version
    prefixv.
    typeFlat

    ...



    Page info
    modified-user
    modified-user

    • Release 2020.2
    2018-12-12v.13John Hartfiel
    • Release 2018.2
    • Design and Documentation is changed

    v.10John Hartfiel
    • Release 2017.2

    2017-09-11v.1

    Page info
    created-user
    created-user

    • Initial release

    ...


    All

    Page info
    modified-users
    modified-users

    ...




    Legal Notices

    Include Page
    IN:Legal Notices
    IN:Legal Notices

    ...



    Scroll Only


    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 0%;
    }</style>
    



    Scroll pdf ignore


    Custom_fix_page_content

    Table of contents

    Table of Contents
    outlinetrue