Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"


DateVersionChangesAuthor
2022
2024-
08
06-
24
183.1.
11
18
  • Design flow → point 6 changed: the file boot.scr ... changed from required to optional
Modification from link "available short link"
ma
2022
2023-
01
12-
25
143.1.
10
  • removed u-boot.dtb from QSPI-Boot mode and SD-Boot mode. Is implemented in BOOT.bin
  • corrected Boot Source File in Boot Script-File
ma
17
  • updated according to Vivado 2023.2
ma
2023-06-13
2022-01-14
3.1.
9
  • extended notes for microblaze boot process with linux
  • add u.boot.dtb to petalinux notes
  • add dtb to prebuilt content
  • replace 20.2 with 21.2
jh
16
  • Design flow:
    • added alternative programming files in Petalinux
  • added chapter FSBL Patch in Software Design - Petalinux
ma
2023-06-01
2021-06-28
3.1.
8
15
  • added boot process for Microblaze
  • minor typos, formatting
ma2021
  • removed u-boot.dtb from Design flow
ma
2023-06-013.1.
7
14
  • expandable lists for revision history and supported hardware
wh
2023
  • carrier reference note
jh2021
-05-
04
253.1.
6
13
  • updated according to Vivado 2022.2
removed zynq_ from zynq_fsbl
ma
2021
2023-
04
02-
28
083.1.
5
  • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
  • minor typos, formatting
    12
    • removed content of
      • Special FSBL for QSPI programming
    ma
    2021
    2022-
    04
    08-
    27
    243.1.
    4
    • Version History
      • changed from list to table
    • Design flow
      • removed step 5 from Design flow
      • changed link from TE Board Part Files to Vivado Board Part Flow
      • changed cmd shell from picture to codeblock
      • added hidden template for "Copy PetaLinux build image files", depending from hardware
      • added hidden template for "Power on PCB", depending from hardware
    • Usage update of boot process
    • Requirements - Hardware
      • added "*used as reference" for hardware requirements
    • all
      • placed a horizontal separation line under each chapter heading
      • changed title-alignment for tables from left to center
    • all tables
      • added "<project folder>\board_files" in Vivado design sources
    ma3.1.3
    • Design Flow
      • formatting
    • Launch
      • formatting
    ma3.1.2
    • minor typing corrections
    • replaced SDK by Vitis
    • changed from / to \ for windows paths
    • replaced <design name> by <project folder>
    • added "" for path names
    • added boot.scr description
    • added USB for programming
    ma3.1.1
    • swapped order from prebuilt files
    • minor typing corrections
    • removed Win OS path length from Design flow, added as caution in Design flow
    ma3.1
    • Fix problem with pdf export and side scroll bar
    • update 19.2 to 20.2
    • add prebuilt content option
    3.0
    • add fix table of content
    • add table size as macro
    • removed page initial creator

    Custom_table_size_100

    Page properties
    hiddentrue
    idComments

    Important General Note:

  • Export PDF to download, if vivado revision is changed!

  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)

    Figure template (note: inner scroll ignore/only only with drawIO object):

    Scroll Title
    anchorFigure_xyz
    titleText
    Scroll Ignore

    Create DrawIO object here: Attention if you copy from other page, use

    Scroll Only

    image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed

    11
    • Modification from link "available short link"
    ma
    2022-01-253.1.10
    • removed u-boot.dtb from QSPI-Boot mode and SD-Boot mode. Is implemented in BOOT.bin
    • corrected Boot Source File in Boot Script-File
    ma
    2022-01-143.1.9
    • extended notes for microblaze boot process with linux
    • add u.boot.dtb to petalinux notes
    • add dtb to prebuilt content
    • replace 20.2 with 21.2
    jh
    2021-06-283.1.8
    • added boot process for Microblaze
    • minor typos, formatting
    ma
    2021-06-013.1.7
    • carrier reference note
    jh
    2021-05-043.1.6
    • removed zynq_ from zynq_fsbl
    ma
    2021-04-283.1.5
    • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
    • minor typos, formatting
    ma
    2021-04-273.1.4
    • Version History
      • changed from list to table
    • Design flow
      • removed step 5 from Design flow
      • changed link from TE Board Part Files to Vivado Board Part Flow
      • changed cmd shell from picture to codeblock
      • added hidden template for "Copy PetaLinux build image files", depending from hardware
      • added hidden template for "Power on PCB", depending from hardware
    • Usage update of boot process
    • Requirements - Hardware
      • added "*used as reference" for hardware requirements
    • all
      • placed a horizontal separation line under each chapter heading
      • changed title-alignment for tables from left to center
    • all tables
      • added "<project folder>\board_files" in Vivado design sources
    ma

    3.1.3
    • Design Flow
      • formatting
    • Launch
      • formatting
    ma

    3.1.2
    • minor typing corrections
    • replaced SDK by Vitis
    • changed from / to \ for windows paths
    • replaced <design name> by <project folder>
    • added "" for path names
    • added boot.scr description
    • added USB for programming
    ma

    3.1.1
    • swapped order from prebuilt files
    • minor typing corrections
    • removed Win OS path length from Design flow, added as caution in Design flow
    ma

    3.1
    • Fix problem with pdf export and side scroll bar
    • update 19.2 to 20.2
    • add prebuilt content option


    3.0
    • add fix table of content
    • add table size as macro
    • removed page initial creator


    Custom_table_size_100


    Page properties
    hiddentrue
    idComments

    Important General Note:

    • Export PDF to download, if vivado revision is changed!

    • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

      • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)
        • Figure template (note: inner scroll ignore/only only with drawIO object):

          Scroll Title
          anchorFigure_xyz
          titleText


          Scroll Ignore

          Create DrawIO object here: Attention if you copy from other page, use


          Scroll Only

          image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



        • Table template:

          • Layout macro can be use for landscape of large tables
          • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)

        • Scroll Title
          anchorTable_xyz
          titleText

          Scroll Table Layout
          orientationportrait
          sortDirectionASC
          repeatTableHeadersdefault
          style
          widths
          sortByColumn1
          sortEnabledfalse
          cellHighlightingtrue

          ExampleComment
          12



    • ...

    Overview

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-
  • Table template:

    • Layout macro can be use for landscape of large tables
    • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)
  • Scroll Title
    anchorTable_xyz
    titleText
    Scroll Table LayoutorientationportraitsortDirectionASCrepeatTableHeadersdefaultstylewidthssortByColumn1sortEnabledfalsecellHighlightingtrueExampleComment12
  • ...
  • Overview

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Notes :

    MicroBlaze Design with Hello TE0725 example in endless loop running in HyperRAM (OpenHBMC IP).

    Refer to http://trenz.org/te0725-info for the current online version of this manual and other available documentation.

    draw.io Diagram
    bordertrue
    diagramNameTE0725
    simpleViewerfalse
    width
    linksauto
    tbstyletop
    lboxtrue
    diagramWidth574
    revision2

    Key Features

    Page properties
    hiddentrue
    idComments

    Notes :

    • Add basic key futures, which can be tested with the design


    Excerpt
    • Vivado/Vitis 20212023.2
    • MicroBlaze
    • QSPI
    • I2C
    • UART
    • HyperRAM IP - OpenHBMC (Beta)


    Revision History

    Page properties
    hiddentrue
    idComments

    Notes :

    • add every update file on the download
    • add design changes on description


    Scroll Title
    anchorTable_DRH
    titleDesign Revision History

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateVivadoProject BuiltAuthorsDescription
    2024-07-032023.2TE0725-test_board_noprebuilt-vivado_2023.2-build_4_20240703150642.zip
    TE0725-test_board-vivado_2023.2-build_4_20240703150642.zip
    Waldemar
    Hanemann
    • 2023.2 update
    2022-08-292021.2TE0725-test_board_noprebuilt-vivado_2021.2-build_15_20220829124226.zip
    TE0725-test_board-vivado_2021.2-build_15_20220829124226.zip
    Waldemar
    Hanemann
    • 2021.2 update
    • Documentation style update
    2020-04-202019.2TE0725-test_board_noprebuilt-vivado_2019.2-build_10_20200420092827.zip
    TE0725-test_board-vivado_2019.2-build_10_20200420092815.zip
    John Hartfiel
    • 2019.2 update
    2018-08-092018.2TE0725-test_board_noprebuilt-vivado_2018.2-build_02_20180809122533.zip
    TE0725-test_board-vivado_2018.2-build_02_20180809122018.zip
    John Hartfiel
    • 2018.2 update
    2018-03-182017.4TE0725-test_board_noprebuilt-vivado_2017.4-build_07_20180319171220.zip
    TE0725-test_board-vivado_2017.4-build_07_20180319171209.zip
    John Hartfiel
    • Board Part update reference link only
    2018-03-162017.4TE0725-test_board_noprebuilt-vivado_2017.4-build_07_20180316163402.zip
    TE0725-test_board-vivado_2017.4-build_07_20180316163351.zip
    John Hartfiel
    • initial release


    Release Notes and Know Issues

    Page properties
    hiddentrue
    idComments
    Notes :
    • add known Design issues and general notes for the current revision
    • do not delete known issue, add fixed version time stamp if  issue fixed


    Scroll Title
    anchorTable_KI
    titleKnown Issues

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    IssuesDescriptionWorkaroundTo be fixed version
    No known issues---------


    Requirements

    Software

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design


    Scroll Title
    anchorTable_SW
    titleSoftware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    SoftwareVersionNote
    Vitis20212023.2needed, Vivado is included into Vitis installation


    Hardware

    Page properties
    hiddentrue
    idComments

    Notes :

    • list of software which was used to generate the design

    Basic description of TE Board Part Files is available on TE Board Part Files.

    Complete List is available on <design name>/board_files/*_board_files.csv

    Design supports following modules:

    Scroll Title
    anchorTable_HWM
    titleHardware Modules

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes
    TE0725-03-15-1C      15_1c         REV03|REV02|REV01 NA32MBNA8MB HypeRAMNA
    TE0725-03-35-2C      35_2c         REV03|REV02|REV01 NA32MBNA8MB HypeRAMNA
    TE0725-03-100-2C     100_2c        REV03|REV02|REV01 NA32MBNA8MB HypeRAMNA
    TE0725-03-100-2CF    100_2c        REV03|REV02|REV01 NA32MBNA8MB HypeRAMPOF assembled
    TE0725-03-100-2I9    100_2i        REV03|REV02|REV01 NA32MBNA8MB HypeRAMNA
    TE0725-03-35-2I      35_2i         REV03|REV02|REV01 NA32MBNA8MB HypeRAMNA

    Design supports following carriers:

    Scroll Title
    anchorTable_HWC
    title-alignmentcenter
    titleHardware Carrier
    Scroll Table LayoutorientationportraitsortDirectionASCrepeatTableHeadersdefaultstylewidthssortByColumn1sortEnabledfalsecellHighlightingtrueCarrier ModelNotes---

    *used as reference

    Additional HW Requirements

    TE0725-04-21C-1-A 

    15_1cREV04NA32MBNA8MB HypeRAMNA
    TE0725-04-42C-1-A35_2cREV04NA32MBNA8MB HypeRAMNA
    TE0725-04-72C-1-A100_2cREV04NA32MBNA8MB HypeRAMNA
    TE0725-04-72C-1-F100_2cREV04NA32MBNA8MB HypeRAMPOF assembled
    TE0725-04-72I-1-B100_2iREV04NA32MBNA8MB HypeRAMNA


    Design supports following carriers:

    External power supply
    Scroll Title
    anchorTable_AHWHWC
    title-alignmentcenter
    titleAdditional Hardware Carrier

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Additional Hardware
    Carrier ModelNotes
    TE0790 JTAG Programmer It's not recommended to use TE0790 for power supply( TE0790 TRM#PowerandPower-OnSequence)
    ---

    *used as reference

    Content

    Additional HW Requirements:

    Scroll Title
    anchorTable_AHW
    titleAdditional Hardware

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    Additional HardwareNotes
    TE0790 JTAG Programmer It's not recommended to use TE0790 for power supply( TE0790 TRM#PowerandPower-OnSequence)
    External power supply

    *used as reference

    Content

    Page properties
    hiddentrue
    idComments

    Notes :

    • content of the zip file

    For general structure and of the reference design, see Project Delivery - AMD devices

    Design Sources

    Scroll Title
    anchorTable_DS
    titleDesign sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    Vivado<project folder>\block_design
    <project folder>\constraints
    <project folder>\ip_lib
    <project folder>\board_files
    Vivado Project will be generated by TE Scripts
    Vitis<project folder>\sw_libAdditional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation


    Additional Sources

    Scroll Title
    anchorTable_ADS
    titleAdditional design sources

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeLocationNotes
    ------



    Prebuilt

    Page properties
    hiddentrue
    idComments

    Notes :

    • prebuilt files
    • Template Table:

      • Scroll Title
        anchorTable_PF
        titlePrebuilt files

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        File

        File-Extension

        Description

        BIF-File*.bifFile with description to generate Bin-File
        BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
        BIT-File*.bitFPGA (PL Part) Configuration File
        DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

        Debian SD-Image

        *.img

        Debian Image for SD-Card

        Diverse Reports---Report files in different formats
        Hardware-Platform-Specification-Files*.xsaExported Vivado Hardware Specification for Vitis and PetaLinux
        LabTools Project-File*.lprVivado Labtools Project File

        MCS-File

        *.mcs

        Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

        MMI-File

        *.mmi

        File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

        OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
        Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

        SREC-File

        *.srec

        Converted Software Application for MicroBlaze Processor Systems




    Scroll Title
    anchorTable_PF
    titlePrebuilt files (only on ZIP with prebuilt content)

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    File

    File-Extension

    Description

    BIT-File*.bitFPGA (PL Part) Configuration File
    DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

    Debian SD-Image

    *.img

    Debian Image for SD-Card

    Diverse Reports---Report files in different formats
    Hardware-Platform-Specification-Files*.xsaExported Vivado Hardware Specification for Vitis and PetaLinux
    LabTools Project-File*.lprVivado Labtools Project File

    MCS-File

    *.mcs

    Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

    MMI-File

    *.mmi

    File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

    Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems


    Download

    Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx AMD Software for the same Project.

    Page properties
    hiddentrue
    idComments

    Reference Design is available on:

    Design Flow

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Notes :
    • Basic Design Steps

    • Add/ Remove project specific description


    Note

    Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first lunch.

    Trenz Electronic provides a tcl based built environment based on Xilinx AMD Design Flow.

    See also:

    The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

    TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx AMD Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

    Note

    Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")


    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

      Code Block
      languagebash
      themeMidnight
      title_create_win_setup.cmd/_create_linux_setup.sh
      ------------------------Set design paths----------------------------
      -- Run Design with: _create_win_setup
      -- Use Design Path: <absolute project path>
      --------------------------------------------------------------------
      -------------------------TE Reference Design---------------------------
      --------------------------------------------------------------------
      -- (0)  Module selection guide, project creation...prebuilt export...
      -- (1)  Create minimum setup of CMD-Files and exit Batch
      -- (2)  Create maximum setup of CMD-Files and exit Batch
      -- (3)  (internal only) Dev
      -- (4)  (internal only) Prod
      -- (c)  Go to CMD-File Generation (Manual setup)
      -- (d)  Go to Documentation (Web Documentation)
      -- (g)  Install Board Files from Xilinx Board Store (beta)
      -- (a)  Start design with unsupported Vivado Version (beta)
      -- (x)  Exit Batch (nothing is done!)
      ----
      Select (ex.:'0' for module selection guide):


    2. Press 0 and enter to start "Module Selection Guide"
    3. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
      • optional for manual changes: Select correct device and Xilinx Vivado install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

        Note

        Note: Select correct one, see also Vivado Board Part Flow

        1. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

          Code Block
          languagepy
          themeMidnight
          titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
          TE::hw_build_design -export_prebuilt


          Info

          Using Vivado GUI is the same, except file export to prebuilt folder.


    4. Generate Programming Files with Vitis

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
      TE::sw_run_vitis -all
      TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


      Info

      Note: Scripts generate applications and bootable files, which are defined in "sw_lib\apps_list.csv"

      App from Firmware folder will be add into BlockRAM. If you add other app, you must select *.elf

      manually on Vivado


      Note

      TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis

      (optional) Copy Application (hello_te0725.elf) from prebuilt-folder into \firmware\microblaze_0\ and regenerate design with

      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
      TE::hw_build_design -export_prebuilt

    Launch

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Programming and Startup procedure

    Programming

    Note

    Check Module and Carrier TRMs for proper HW configuration before you try any design.

    Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

    Xilinx AMD documentation for programming and debugging: Vivado/SDK/SDSoC-Xilinx Software Programming and Debugging

    Get prebuilt boot binaries

    1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
    2. Press 0 and enter to start "Module Selection Guide"
      1. Select assembly version
      2. Validate selection
      3. Select create and open delivery binary folder

        Info

        Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated


    QSPI

    -Boot mode

             Option for *.mcs file on QSPI Flash

    1. Connect Connect JTAG and power on carrier with module
    2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"


      Code Block
      languagepy
      themeMidnight
      titlerun on Vivado TCL (Script programs .mcs-File on QSPI flash)
      TE::pr_program_flash -swapp hello_te0725_bootldr


    3. Press the reset button to start the application and see the output in the console


    SD

    Not used on this Example.

    JTAG

    1. Connect JTAG and power on PCB
    2. Open Vivado HW Manager
    3. Program FPGA with Bitfile from "prebuilt\hardware\<short dir>\"

    Usage

    1. Prepare HW like described on section Programming
    2. Connect UART USB (most cases same as JTAG)

    3. Expand
      titleboot process

      1. FPGA Loads Bitfile from Flash

      3. Hello Trenz will be run on UART console.

        info: Do not reboot, if Bitfile programming over JTAG is used as programming method.

      1. UART

        Open Serial Console (e.g. putty) Hello TE0725 will run on endless loop.

        1. Speed: 9600
        2. COM Port: Win OS, see device manager, Linux OS see  dmesg |grep tty  (UART is *USB1)
          Image RemovedImage Added

          Power On PCB (Do not restart, if you use Bitfile programming)



    Vivado HW Manager

    Page properties
    hiddentrue
    idComments

    Note:

    • Add picture of HW Manager

    • add notes for the signal either groups or topics, for example:

      Control:

      • add controllable IOs with short notes..

      Monitoring:

      • add short notes for signals which will be monitored only
      • SI5338 CLKs:
        • Set radix from VIO signals to unsigned integer.
          Note: Frequency Counter is inaccurate and displayed unit is Hz
        • expected CLK Frequency...
    • VIO Core for signal control and monitoring is not implemented


    System Design - Vivado

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...

    Block Design

    Image Removed

    draw.io Diagram
    bordertrue
    diagramNameBlockdiagram_TE0725_23-2
    simpleViewerfalse
    width
    linksauto
    tbstyletop
    lboxtrue
    diagramWidth1474
    revision1

    Constraints

    Basic module constraints

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property BITSTREAM.CONFIG.CONFIGRATE 66 [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property CFGBVS VCCO [current_design]
    set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR YES [current_design]
    set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
    set_property BITSTREAM.CONFIG.M1PIN PULLNONE [current_design]
    set_property BITSTREAM.CONFIG.M2PIN PULLNONE [current_design]
    set_property BITSTREAM.CONFIG.M0PIN PULLNONE [current_design]
    
    set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design]

    Design specific constraints

    ---

    title_i_bitgen_common.xdc
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property CFGBVS VCCO [current_design]
    set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR YES [current_design]
    set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
    set_property BITSTREAM.CONFIG.M1PIN PULLNONE [current_design]
    set_property BITSTREAM.CONFIG.M2PIN PULLNONE [current_design]
    set_property BITSTREAM.CONFIG.M0PIN PULLNONE [current_design]
    
    set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design]
    Code Block
    languageruby
    title_i_common.xdc
    set_property PACKAGE_PIN T5 [get_ports clk_crystal_i]
    set_property IOSTANDARD LVCMOS33 [get_ports clk_crystal_i]

    Design specific constraints

    Code Block
    languageruby
    title_i_hyperram.xdc
    set_property PACKAGE_PIN A13 [get_ports HB_CLK0_0]
    set_property PACKAGE_PIN A14 [get_ports HB_CLK0n_0]
    
    set_property PACKAGE_PIN E17 [get_ports {HB_dq_0[0]}]
    set_property PACKAGE_PIN B17 [get_ports {HB_dq_0[1]}]
    set_property PACKAGE_PIN F18 [get_ports {HB_dq_0[2]}]
    set_property PACKAGE_PIN F16 [get_ports {HB_dq_0[3]}]
    set_property PACKAGE_PIN G17 [get_ports {HB_dq_0[4]}]
    set_property PACKAGE_PIN D18 [get_ports {HB_dq_0[5]}]
    set_property PACKAGE_PIN B18 [get_ports {HB_dq_0[6]}]
    set_property PACKAGE_PIN A16 [get_ports {HB_dq_0[7]}]
    
    
    set_property PACKAGE_PIN E18 [get_ports HB_RWDS_0]
    
    set_property PACKAGE_PIN D17 [get_ports HB_CS1n_0]
    set_property PACKAGE_PIN J17 [get_ports HB_RSTn_0]
    
    #set_property PACKAGE_PIN A18 [get_ports HB_CS0n_0 ]
    #set_property PACKAGE_PIN J18 [get_ports HB_INTn_0 ]
    #set_property PACKAGE_PIN C17 [get_ports HB_RSTOn_0]
    
    
    #
    # FPGA Pin Voltage assignment 
    #
    set_property IOSTANDARD LVCMOS18 [get_ports HB_CLK0_0]
    set_property IOSTANDARD LVCMOS18 [get_ports HB_CLK0n_0]
    set_property IOSTANDARD LVCMOS18 [get_ports {HB_dq_0[*]}]
    set_property IOSTANDARD LVCMOS18 [get_ports HB_CS1n_0]
    set_property IOSTANDARD LVCMOS18 [get_ports HB_RSTn_0]
    set_property IOSTANDARD LVCMOS18 [get_ports HB_RWDS_0]
    
    set_property SLEW       FAST     [get_ports {HB_*}]
    set_property DRIVE      16       [get_ports {HB_*}]
    
    #set_property IOSTANDARD LVCMOS18 [get_ports HB_CS0n_0]
    #set_property IOSTANDARD LVCMOS18 [get_ports HB_INTn_0]
    #set_property IOSTANDARD LVCMOS18 [get_ports HB_RSTOn_0]
    
    #set_property PULLUP true [get_ports HB_RSTOn_0]
    #set_property PULLUP true [get_ports HB_INTn_0]
    
    set_property PULLUP     TRUE     [get_ports {HB_CS1n_0}]
    set_property PULLDOWN   TRUE     [get_ports {HB_RSTn_0}]
    
    #
    #Hyperbus Clock - change according to clk pin on PLL
    #
    create_generated_clock -name clk_0   -source [get_pins msys_i/clk_wiz_1/inst/mmcm_adv_inst/CLKIN1] -master_clock sys_clock [get_pins msys_i/clk_wiz_1/inst/mmcm_adv_inst/CLKOUT0]
    create_generated_clock -name clk_90  -source [get_pins msys_i/clk_wiz_1/inst/mmcm_adv_inst/CLKIN1] -master_clock sys_clock [get_pins msys_i/clk_wiz_1/inst/mmcm_adv_inst/CLKOUT1]
    create_generated_clock -name clk_180 -source [get_pins msys_i/clk_wiz_1/inst/mmcm_adv_inst/CLKIN1] -master_clock sys_clock [get_pins msys_i/clk_wiz_1/inst/mmcm_adv_inst/CLKOUT2]
    
    #
    #100Mhz clock freqeuncy - change accordingly
    #
    set hbus_freq_ns   10
    
    set dqs_in_min_dly -1
    set dqs_in_max_dly  1
    
    set HB_dq_ports    [get_ports HB_dq_0[*]]
    
    #
    #Create RDS clock and RDS virtual clock
    #
    create_clock -period $hbus_freq_ns -name rwds_clk      [get_ports HB_RWDS_0]
    create_clock -period $hbus_freq_ns -name virt_rwds_clk 
    
    #
    #Input Delay Constraint -  HB_RWDS-HB_DQ 
    #
    set_input_delay -clock [get_clocks virt_rwds_clk]             -max ${dqs_in_max_dly} ${HB_dq_ports}
    set_input_delay -clock [get_clocks virt_rwds_clk] -clock_fall -max ${dqs_in_max_dly} ${HB_dq_ports} -add_delay
    
    set_input_delay -clock [get_clocks virt_rwds_clk]             -min ${dqs_in_min_dly} ${HB_dq_ports} -add_delay
    set_input_delay -clock [get_clocks virt_rwds_clk] -clock_fall -min ${dqs_in_min_dly} ${HB_dq_ports} -add_delay
    
    set_multicycle_path -setup -end -rise_from [get_clocks virt_rwds_clk] -rise_to [get_clocks rwds_clk] 0
    set_multicycle_path -setup -end -fall_from [get_clocks virt_rwds_clk] -fall_to [get_clocks rwds_clk] 0
    
    set_false_path  -fall_from [get_clocks virt_rwds_clk] -rise_to [get_clocks rwds_clk] -setup
    set_false_path  -rise_from [get_clocks virt_rwds_clk] -fall_to [get_clocks rwds_clk] -setup
    set_false_path  -fall_from [get_clocks virt_rwds_clk] -fall_to [get_clocks rwds_clk] -hold
    set_false_path  -rise_from [get_clocks virt_rwds_clk] -rise_to [get_clocks rwds_clk] -hold
    
    #set_false_path -from [get_clocks clk_0] -to [get_clocks rwds_clk]
    #set_false_path -from [get_clocks rwds_clk] -to [get_clocks clk_0]
    
    #
    #Output Delay Constraint -  HB_CLK0-HB_DQ 
    #
    
    create_generated_clock -name HB_CLK0_0 -source [get_pins */*/*/U_IO/U_CLK0/dq_idx_[0].ODDR_inst/C] -multiply_by 1 -invert [get_ports HB_CLK0_0]
    
    set_output_delay -clock [get_clocks HB_CLK0_0] -min -1.000 ${HB_dq_ports}
    set_output_delay -clock [get_clocks HB_CLK0_0] -max  1.000 ${HB_dq_ports}
    set_output_delay -clock [get_clocks HB_CLK0_0] -min -1.000 ${HB_dq_ports} -clock_fall -add_delay
    set_output_delay -clock [get_clocks HB_CLK0_0] -max  1.000 ${HB_dq_ports} -clock_fall -add_delay
    
    
    set_false_path -from [get_pins */*/*/U_HBC/*/dq_io_tri_reg/C] -to ${HB_dq_ports}
    
    #set_false_path -from * -to [get_pins */*/inst/*/i_iavs0_270_rstn_1_reg/CLR]
    #set_false_path -from * -to [get_pins */*/inst/*/i_iavs0_270_rstn_2_reg/CLR]
    #set_false_path -from * -to [get_pins */*/inst/*/i_iavs0_270_rstn_3_reg/CLR]
    
    
    set_false_path -from [get_clocks rwds_clk] -to [get_clocks -of_objects [get_pins msys_i/clk_wiz_1/inst/mmcm_adv_inst/CLKOUT0]]
    set_false_path -from [get_clocks virt_rwds_clk] -to [get_clocks rwds_clk]
    
    
    
    

    Software Design - Vitis

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For SDK project creation, follow instructions from:

    Vitis

    Application

    Page properties
    hiddentrue
    idComments

    ----------------------------------------------------------

    FPGA Example

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified 2019.2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified 2019.2 xilisf_v5_11

    • Changed default Flash type to 5.

    ----------------------------------------------------------

    Zynq Example:

    zynq_fsbl

    TE modified 2019.2 FSBL

    General:

    • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot  platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY

    zynq_fsbl_flash

    TE modified 2019.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:
      •  Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified 2019.2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c(search for 'TE Mod' on source code)
    • Add Files:  te_xfsbl_hooks.h/.c (for hooks and board)\n\
    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_fsbl_flash

    TE modified 2019.2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:
      •  Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation


    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis  is used to generate Boot.bin.

    Template location: "<project folder>\sw_lib\sw_apps\"

    hello_te0725

    Trenz Hello TE0725 example as endless loop. Output on console.

    Additionally running a Low Level EEPROM IIC example.

    Template location: \sw_lib\sw_apps\hello_te0725The printed Text can be modified.hello_te0725

    The printed Text can be modified.


    spi_bootloader

    TE modified SPI Bootloader from Henrik Brix Andersen.

    Bootloader to load app or second bootloader from flash into DDR.

    Here it loads the hello_te0725.elf from QSPI-Flash to RAM.

    Descriptions:

    • Modified Files: bootloader.c
    • Changes:
      • Change the SPI defines in the header
      • Add some reiteration in the frist spi read call

    Additional Software

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • Add description for other Software, for example SI CLK Builder ...
    • SI5338 and SI5345 also Link to:

    No additional software is needed.

    Appx. A: Change History and Legal Notices

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Document Change History

    To get content of older revision  got to "Change History"  of this page and select older document revision number.

    Page properties
    hiddentrue
    idComments
    • Note this list must be only updated, if the document is online on public doc!
    • It's semi automatically, so do following
      • Add new row below first

      • Copy "Page Information Macro(date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

      • Metadata is only used of compatibility of older exports


    Scroll Title
    anchorTable_dch
    titleDocument change history.

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths2*,*,3*,4*
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateDocument Revision

    Authors

    Description
    Page info
    modified-date
    modified-date
    dateFormatyyyy-MM-dd


    Page info
    current-version
    current-version
    prefixv.

    Page info
    modified-user
    modified-user

    • 2023.2 update
    2022-08-29


    v14


    Waldemar Hanemann
    • 2021.2 update
    • Documentation style update
    2020-04-27



    v.13


    John Hartfiel

    • typo
    2020-04-20v.12John Hartfiel
    • 2019.2 update
    • Documentation style update
    2018-08-09v.9John Hartfiel
    • 2018.2 update

    2018.06.05

    v.8John Hartfiel
    • Board Part Documentation update
    • Typo correction UART Speed
    2018-03-16

    v.5

    John Hartfiel
    • 2017.4 release
    2018-03-12v.1

    Page info
    created-user
    created-user

    • Initial release

    All

    Page info
    modified-users
    modified-users



    Legal Notices

    Include Page
    IN:Legal Notices
    IN:Legal Notices



    Scroll Only


    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 0%;
    }</style>
    



    Scroll pdf ignore


    Custom_fix_page_content

    Table of contents

    Table of Contents
    outlinetrue