Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

...

Product Specification

Port Description

Name / opt. VHD NameDirectionPinBank PowerDescription
ADBUS0        
G93V_D
ADBUS1        
F103V_D
ADBUS2        
E103V_D
ADBUS3        
D93V_D
AVDD_SHDN     
G103V_D
BCBUS0        
D123V_D
BCBUS1        
E133V_D
BCBUS2        
E123V_D
BCBUS3        
F133V_D
BCBUS4        
F123V_D
BDBUS0        
B113V_D
BDBUS1        
A123V_D
BDBUS2        
B123V_D
BDBUS3        
C113V_D
BDBUS4        
B133V_D
BDBUS5        
C123V_D
BDBUS6        
C133V_D
BDBUS7        
D113V_D
CONF_DONE     
C53V_D
DET_BPR       
H23V_D
DET_RIO       
H33V_D
DONE          
N3PS_1V8
EN_3V3        
C103V_D
EN_DAC1       
E63V_D
EN_DAC2       
E83V_D
EN_DAC3       
B63V_D
EN_DAC4       
A63V_D
EN_DDR        
G133V_D
EN_FPD        
L123V_D
EN_LPD        
J133V_D
EN_PSGT       
B93V_D
ERR_OUT       
G5PS_1V8
ERR_STATUS    
H6PS_1V8
F_TCK         
N2PS_1V8
F_TDI         
M1PS_1V8
F_TDO         
K1PS_1V8
F_TMS         
J1PS_1V8
F1PWM         
H103V_D
F1SENSE       
J93V_D
FTDI_RST      
E93V_D
GA0           
F83V_D
GA0_R         
F93V_D
GA1           
A23V_D
GA1_R         
B23V_D
GA2           
A33V_D
GA2_R         
B33V_D
GA3           
A43V_D
GA3_R         
B43V_D
IEEE_SW_NC    
C93V_D
IEEE_SW_NO    
A113V_D
INIT_B        
L2PS_1V8
JTAGEN        
E53V_D
LED_FP_4      
M43.3V
LP_GOOD       
H133V_D
M10_RST       
A73V_D
M10_RX        
C23V_D
M10_TX        
B13V_D
MAX_IO1       
N83.3V
MAX_IO10      
M103.3V
MAX_IO2       
N73.3V
MAX_IO3       
M93.3V
MAX_IO4       
M83.3V
MAX_IO5       
M123.3V
MAX_IO6       
M133.3V
MAX_IO7       
N93.3V
MAX_IO8       
N103.3V
MAX_IO9       
M113.3V
MIO22         
M3PS_1V8
MIO23         
M2PS_1V8
MIO24         
L3PS_1V8
MIO25         
H5PS_1V8
MR            
K103V_D
N.C.
J53.3V
N.C.
J63.3V
N.C.
J73.3V
N.C.
J83.3V
N.C.
K53.3V
N.C.
K63.3V
N.C.
K73.3V
N.C.
K83.3V
N.C.
L43.3V
N.C.
L53.3V
N.C.
M53.3V
N.C.
M73.3V
N.C.
N43.3V
N.C.
N53.3V
N.C.
N63.3V
N.C.
L103.3V
N.C.
L113.3V
N.C.
N123.3V
nCONF         
E73V_D
nSTATUS       
C43V_D
ON_GT_L       
J123V_D
ON_GT_R       
K123V_D
PG_DDR        
H83V_D
PG_GT_L       
H93V_D
PG_GT_R       
G123V_D
PG_PL         
L133V_D
PG_PSGT       
K113V_D
PLL_RST       
K2PS_1V8
PROG_B        
J2PS_1V8
PSON          
D63V_D
RP_SCL        
E13V_D
RP_SDI        
G43V_D
RP_SDO        
F43V_D
RP_SL         
F13V_D
RST           
B53V_D
RST_PRST      
A83V_D
RST_PRST_R    
B103V_D
RST_R         
D83V_D
SATA_SCL      
G23V_D
SATA_SDI      
F63V_D
SATA_SDO      
F53V_D
SATA_SL       
G13V_D
SMB_SCL       
E33V_D
SMB_SCL_R     
E43V_D
SMB_SDA       
C13V_D
SMB_SDA_R     
D13V_D
SRST_B        
H4PS_1V8
SW4           
A53V_D
SYSEN         
D73V_D
USR_BTN       
J103V_D
WAKE          
A93V_D
WAKE_R        
A103V_D
Name / opt. VHD NameDirectionPinBank PowerDescription
FTDI_RXDin

UART receive data from FTDI
FTDI_TXDout

UART transmit data to FTDI
MIO22out

UART receive data to FPGA
MIO23in

UART receive data from FPGA
ZYNQ_TDOin

FPGA JTAG TDO
ZYNQ_TCKout

FPGA JTAG TCK
ZYNQ_TDIout

FPGA JTAG TDI
ZYNQ_TMSout

FPGA JTAG TMS
ADBUS0in

FTDI JTAG TCK
ADBUS1in

FTDI JTAG TDI
ADBUS2out

FTDI JTAG TDO
ADBUS3in

FTDI JTAG TMS
USB_BTNin

Front panel button
LED4out

Front panel LED4
MRout

Supervisor Reset output
SRST_Bout

FPGA SRST_B
FTDI_RSTout

FPGA RST_B
PLL_RSTout

Clock chip Reset
EN_DAC1out

DAC1 Power Enable
EN_DAC2out

DAC2 Power Enable
EN_DAC3out

DAC3 Power Enable
EN_DAC4out

DAC4 Power Enable
EN_FPDout

FPD Power Enable
EN_LPDout

LPD Power Enable
EN_DDRout

DDR Power Enable
EN_PSGTout

PSGT Power Enable
ON_GT_Lout

GT_L Power Enable
ON_GT_Rout

GT_R Power Enable
PG_PSGTin

PSGT Power Good
LP_GOODin

LP Power Good
PG_GT_Lin

GT_L Power Good
PG_GT_Rin

GT_R Power Good
PG_PLin

PL Power Good
PG_DDRin

DDR Power Good
F1PWMout

FAN PWM Control
F1SENSEin

FAN Sense
DONEin

FPGA DONE
IO1in

FPGA I2C SCL_t
IO2out

FPGA I2C SCL_i
IO3in

FPGA I2C SDA_t
IO4out

FPGA I2C SDA_i
IO5in

FPGA User LED control
SCL_Rout

SCL Strong Pull-Up Enable
SDA_Rout

SDA Strong Pull-Up Enable
SCLinout

I2C SCL
SDAinout

I2C SDA

...