Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

...

Page properties
hiddentrue
idComments

Template Revision 2.1 8 - on construction

Design Name always "TE Series Name" + Design name, for example "TE0720 Test Board"

...

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design


Excerpt
  • Vitis/Vivado 2019.2
  • RPI Camera 1.3 or 2.1
  • VIDEO/AUDIO (plus video/audio player)
  • HDMI
  • PetaLinux
  • SD
  • ETH
  • USB
  • I2C
  • Special FSBL for QSPI programming

...

Scroll Title
anchorTable_DRH
titleDesign Revision History

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

2018te072620180320181128113130te0726_noprebuilt20180320181128113146
DateVivadoProject BuiltAuthorsDescription
201192020-0203-122520182019.2te0726TE0726-zynqberrydemo3_noprebuilt-vivado_20182019.2-build_048_2019021214121620200325082001.zip
te0726TE0726-zynqberrydemo3_noprebuilt-vivado_20182019.2-build_048_2019021214123620200325081946.zip
Oleksandr Kiyenko
  • add missing fgrab in petalinux template
2018-12-05Mohsen Chamanbaz/John Hartfiel
  • script update
2020-02-202019.2TE0726-zynqberrydemo3_noprebuilt-vivado_2019.2-build_5_20200214101637.zip
TE0726-zynqberrydemo3-vivado_2019.2-build_5_20200214101624.zipOleksandr Kiyenko
  • initial release

Release Notes and Know Issues

Page properties
hiddentrue
idComments
Notes :
  • add known Design issues and general notes for the current revision
  • do not delete known issue, add fixed version time stamp if  issue fixed

...

anchorTable_KI
titleKnown Issues
Mohsen Chamanbaz
  • update with Vivado 2019.2
2019-12-192018.3te0726-zynqberrydemo3-vivado_2018.3-build_10_20200114090815.zip
te0726-zynqberrydemo3_noprebuilt-vivado_2018.3-build_10_20200114090645.zip
Mohsen Chamanbaz
  • update with Vivado 2018.3
2019-02-122018.2te0726-zynqberrydemo3-vivado_2018.2-build_04_20190212141216.zip
te0726-zynqberrydemo3_noprebuilt-vivado_2018.2-build_04_20190212141236.zip
Oleksandr Kiyenko
  • add missing fgrab in petalinux template
2018-12-05

2018.2

te0726-zynqberrydemo3-vivado_2018.2-build_03_20181128113130.zip
te0726-zynqberrydemo3_noprebuilt-vivado_2018.2-build_03_20181128113146.zip
Oleksandr Kiyenko
  • initial release


Release Notes and Know Issues

Page properties
hiddentrue
idComments
Notes :
  • add known Design issues and general notes for the current revision
  • do not delete known issue, add fixed version time stamp if  issue fixed

...

Requirements

Software

Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design


Scroll Title
anchorTable_SWKI
titleSoftwareKnown Issues

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Software
Issues
Version
Description
Note
Vivado2018.2needed
SDK2018.2needed
PetaLinux2018.2needed

...

WorkaroundTo be fixed version
Flash Programming failed with 19.2Depending on Flash content Flash programming failed with provided fsbl_flash (Xilinx AR# 70548 )2019.2 version
  • Option1:
    • In case Flash is empty, use fsbl_flash on programming GUI 
    • In case Flash is programmed use normal fsbl on programming GUI
  • Option2: use in both case fsbl_flash on programming GUI and Vivado LabTools 2018.3
---


Requirements

Software

Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design

Basic description of TE Board Part Files is available on TE Board Part Files.

Complete List is available on <design name>/board_files/*_board_files.csv

Design supports following modules:


Scroll Title
anchorTable_SW
titleSoftware
Scroll Title
anchorTable_HWM
titleHardware Modules

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashOthersNotes
te0726-01 01 REV0164MB LPDDR216MBnot included, user modifications are neededte0726-03r r REV02, REV03128MB DDR3L16MBnot included, user modifications are neededte0726-03m m REV02, REV03512MB DDR3L16MBte0726-03-07s-1c7sREV03512MB DDR3L16MB
SoftwareVersionNote
Vitis2019.2needed, Vivado ist included into Vitis installlation
PetaLinux2019.2needed


Hardware

Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design

Basic description of TE Board Part Files is available on TE Board Part Files.

Complete List is available on <design name>/board_files/*_board_files.csv

Design supports following modulesDesign supports following carriers:

Scroll Title
anchorTable_HWCHWM
titleHardware CarrierModules

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Carrier
Module Model
Notes---

Additional HW Requirements:

Board Part Short NamePCB Revision SupportDDRQSPI FlashOthersNotes
te0726-01 01 REV0164MB LPDDR216MB
not included, user modifications are needed
te0726-03r r REV02, REV03128MB DDR3L16MB
not included, user modifications are needed
te0726-03m m REV02, REV03512MB DDR3L16MB

te0726-03-07s-1c7sREV03512MB DDR3L16MB


Design supports following carriers:

Scroll Title
anchorTable_HWC
titleHardware Carrier

Scroll Table Layout
orientationportrait

Scroll Title
anchorTable_AHW
titleAdditional Hardware

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Additional Hardware
Carrier ModelNotes
---




Additional HW Requirements:

Scroll Title
anchorTable_AHW
titleAdditional Hardware

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

headphones
Additional HardwareNotes
USB PowerUse USB2USB PowerUse USB2.0 or higher for power supply via USB
USB CableConnect to USB2 or better USB3 Hub for proper power supply over USB
Headphones
--
Raspberry Pi Camera Rev 1.3 or Camera Rev 2.1Betaimplemenetation of REV2.1(not complette stable)
MonitorDELL Model Number: U2412Mc
HDMI Cable--


Content

Page properties
hiddentrue
idComments

Notes :

  • the content of the zip file

For general structure and of the reference design, see Project Delivery - AMD devices

Design Sources

Scroll Title
anchorTable_DS
titleDesign sources

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

TypeLocationNotes
Vivado<design name>/block_design
<design name>/constraints
<design name>/ip_lib
Vivado Project will be generated by TE Scripts
VitisSDK/HSI<design name>/sw_libAdditional Software Template for SDK/HSI Vitis and apps_list.csv with settings automatically for HSIVitis app generation
PetaLinux<design name>/os/petalinuxPetaLinux template with current configurationSDSoC<design name>/../SDSoC_PFMSDSoC Platform will be generated by TE Scripts or as separate download


Additional Sources

Scroll Title
anchorTable_ADS
titleAdditional design sources

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

TypeLocationNotes
init.sh<design name>/misc/init_scriptAdditional Initialization Script for Linux


...

Page properties
hiddentrue
idComments

Notes :

  • prebuilt files
  • Template Table:

    • Scroll Title
      anchorTable_PF
      titlePrebuilt files

      Scroll Table Layout
      orientationportrait
      sortDirectionASC
      repeatTableHeadersdefault
      style
      widths
      sortByColumn1
      sortEnabledfalse
      cellHighlightingtrue

      File

      File-Extension

      Description

      BIF-File*.bifFile with description to generate Bin-File
      BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
      BIT-File*.bitFPGA (PL Part) Configuration File
      DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

      Debian SD-Image

      *.img

      Debian Image for SD-Card

      Diverse Reports---Report files in different formats
      Hardware-Platform-Specification-Files*.hdfxsaExported Vivado Hardware Specification for SDK/HSI Vitis and PetaLinux
      LabTools Project-File*.lprVivado Labtools Project File

      MCS-File

      *.mcs

      Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

      MMI-File

      *.mmi

      File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

      OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
      Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

      SREC-File

      *.srec

      Converted Software Application for MicroBlaze Processor Systems



...

Scroll Title
anchorTable_PF
titlePrebuilt files (only on ZIP with prebult content)

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

File

File-Extension

Description

BIF-File*.bifFile with description to generate Bin-File
BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
BIT-File*.bitFPGA (PL Part) Configuration File
DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface
Diverse Reports---Report files in different formats
Hardware-Platform-Specification-Files*.hdfxsaExported Vivado Hardware Specification for SDK/HSI Vitis and PetaLinux
LabTools Project-File*.lprVivado Labtools Project File
OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems


...

Reference Design is available on:

Design Flow

Page properties
hiddentrue
idComments
Notes :
  • Basic Design Steps

  • Add/ Remove project specific description

...

Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

See also:

The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also be executed by Xilinx Vivado/SDK GUI.  For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality


  1. _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:
  2. Press 0 and enter for minimum setupto start "Module Selection Guide"
  3. (optional Win OS) Generate Virtual Drive or use short directory  for the reference design (for example x:\<design name>)
  4. Create Project (follow instruction of the product selection guide), settings file will be configured automatically during this process
    1. (optional for manual changes) Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"
      Note: Select correct one, see  see TE Board Part Files
  5. Create HDF and export to prebuilt folder
    1. Run on Vivado TCL: TE::hw_build_design -export_prebuilt
      Note: Script generate design and export files into \prebuilt\hardware\<short dir>. Use GUI is the same, except file export to prebuilt folder
  6. Create Linux (uboot.elf and image.ub) with exported HDFXSA
    1. HDF XSA is exported to "prebuilt\hardware\<short name>"
      Note: HW Export from Vivado GUI create another path as default workspace.
    2. Create Linux images on VM, see PetaLinux KICKstart
      1. Use TE Template from /os/petalinux
      2. For 128MB and 64MB only:Netboot Offset must be reduced manually, see 68616202
  7. Add Linux files (uboot.elf and image.ub) to prebuilt folder
    1. "prebuilt\os\petalinux\default<DDR size>" or "prebuilt\os\petalinux\<short name>"
      Notes: Scripts select "prebuilt\os\petalinux\<short name>", if exist, otherwise "prebuilt\os\petalinux\default\<DDR size>"
  8. Generate Programming Files with HSI/SDKVitis
    1. Run on Vivado TCL: TE::sw_run_hsivitis -all
      Note: Scripts generate applications and bootable files, which are defined in "sw_lib\apps_list.csv"
    2. (alternative) Start SDK Vitis with Vivado GUI or start with TE Scripts on Vivado TCL: TE::sw_run_sdkvitis
      Note: See SDK Projects  TCL scripts generate also platform project, this must be done manuelly in case GUI is used. See Vitis

Launch

Page properties
hiddentrue
idComments

Note:

  • Programming and Startup procedure

...

Xilinx documentation for programming and debugging: Vivado/SDK/SDSoC-Xilinx Software Programming and Debugging

QSPI

Get prebuilt boot binaries

  1. _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
  2. Press 0 and enter to start "Module Selection Guide"
    1. Select assembly version
    2. Validate selection
    3. Select Create and open delivery binary folder
      Note: Folder (<project foler>/_binaries_<Artikel Name>) with subfolder (boot_<app name>) for different applications will be generated

QSPI

  1. Connect JTAG and power module (TE0726 can be powered via JTAG USB or external)
  2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"
  3. Type on Vivado TCL Console:
  4. Connect JTAG and power on the carrier with module
  5. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"
  6. Type on Vivado TCL Console: TE::pr_program_flash _binfile -swapp u-boot
    Note: To program with SDK/Vivado GUI, use special FSBL (zynqmp_fsbl_flash) on setup
             optional "TE::pr_program_flash _binfile -swapp hello_te0726" possible
  7. Copy imageBuild Image.ub in Petalinux 
  8. Copy the Petalinux image.ub on on SD-Card
    • For correct prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
  9. Copy ffmpeg compatible video or aplay compatible audio file init.sh on SD-Card
    • Location : <design-name>/misc/sd/
  10. Insert SD-Card

SD

Xilinx Zynq devices in CLG225 package do not support SD Card boot directly from ROM bootloader. Use QSPI for primary boot and SD for secondary boot (u-boot)

...

  1. Open Serial Console (e.g. putty)
    1. Speed: 115200
    2. COM Port: Win OS, see device manager, Linux OS see  dmesg |grep tty  (UART is *USB1)
  2. Linux Console:
    Note: Wait until Linux boot finished For Linux Login use:
    1. User Name: root
    2. Password: root
      Note: Wait until Linux boot finished For Linux Login use:
      1. User Name: root
      2. Password: root
  3. You can use a Linux shell now.
    1. I2C 1 Bus type: i2cdetect -y -r 5
      Bus 0...5 possible
    2. ETH0 works with udhcpc
    3. USB: insert USB device
  4. Start Video with "play <video file>"
    1. "play" is alias to ffmpeg with some parameters for video and audio, type "alias" to see configuration
    2. for videos with higher resolution, disable audio on ffmpeg configuration
  5. Start Audio with "aplay <audio files>"
  6. Take image from camera (must be enabled with init.sh scripts):
    1. write image to webserver: fbgrab -d /dev/fb1 /srv/www/camera.png
    2. Display image on host PC: http://<ZynqBerry IP>/camera.png

System Design - Vivado

Page properties
hiddentrue
idComments

Note:

  • Description of Block Design, Constraints... BD Pictures from Export...

...

Scroll Title
anchorFigure_BD
titleBlock Design

PS Interfaces

Page properties
hiddentrue
idComments

Note:

  • optional for Zynq / ZynqMP only

  • add basic PS configuration

Activated interfaces:

Scroll Title
anchorTable_PSI
titlePS Interfaces

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

TypeNote
DDR---
QSPIMIO
USB0MIO, ETH over USB
SD1MIO
UART1MIO
I2C0EMIO
I2C1MIO
GPIOMIO / EMIO
USB RSTMIO
TTC0..1MIO
WDTMIO
AXI HP0..1
DMA0..1


...

Code Block
languageruby
title_i_timing.xdc
set_property ASYNC_REG true [get_cells {zsys_i/audio/axi_i2s_adi_0/U0/ctrl/tx_sync/out_data_reg[4]}]
set_property ASYNC_REG true [get_cells {zsys_i/audio/axi_i2s_adi_0/U0/ctrl/SDATA_O_reg[0]}]
set_false_path -from [get_clocks clk_fpga_0] -to [get_clocks clk_fpga_3]
set_false_path -from [get_clocks clk_fpga_3] -to [get_clocks clk_fpga_0]

set_false_path -from [get_pins {zsys_i/axi_reg32_0/U0/axi_reg32_v1_0_S_AXI_inst/slv_reg16_reg[1]/C}] -to [get_pins zsys_i/video_in/axis_raw_demosaic_0/U0/colors_mode_i_reg/D]
set_false_path -from [get_pins zsys_i/video_in/csi_to_axis_0/U0/lane_align_inst/err_req_reg/C] -to [get_pins zsys_i/video_in/csi2_d_phy_rx_0/U0/clock_upd_req_reg/D]

set_false_path -from [get_pins {zsys_i/video_in/axi_vdma_0/U0/I_PRMRY_DATAMOVER/GEN_S2MM_FULL.I_S2MM_FULL_WRAPPER/GEN_INCLUDE_REALIGNER.I_S2MM_REALIGNER/GEN_INCLUDE_SCATTER.I_S2MM_SCATTER/sig_max_first_increment_reg[2]/C}] -to [get_pins zsys_i/video_in/axi_vdma_0/U0/I_PRMRY_DATAMOVER/GEN_S2MM_FULL.I_S2MM_FULL_WRAPPER/GEN_INCLUDE_REALIGNER.I_S2MM_REALIGNER/GEN_INCLUDE_SCATTER.I_S2MM_SCATTER/sig_btt_eq_0_reg/D]
set_false_path -from [get_pins {zsys_i/video_in/axi_vdma_0/U0/I_PRMRY_DATAMOVER/GEN_S2MM_FULL.I_S2MM_FULL_WRAPPER/GEN_INCLUDE_REALIGNER.I_S2MM_REALIGNER/GEN_INCLUDE_SCATTER.I_S2MM_SCATTER/sig_btt_cntr_dup_reg[1]/C}] -to [get_pins zsys_i/video_in/axi_vdma_0/U0/I_PRMRY_DATAMOVER/GEN_S2MM_FULL.I_S2MM_FULL_WRAPPER/GEN_INCLUDE_REALIGNER.I_S2MM_REALIGNER/GEN_INCLUDE_SCATTER.I_S2MM_SCATTER/sig_btt_eq_0_reg/D]




Software Design -

...

Vitis

HTML
<!--
optional chapter
separate sections for different apps
  -->

For SDK project creation, follow instructions from:SDK Projects

Vitis

Application

SDK template in ./sw_lib/sw_apps/ available.

zynq_fsbl

TE modified 2018.2 FSBL

  • Changes:
    • enable VTC and VDMA cores (fsbl_hooks.c)

zynq_fsbl_flash

TE modified 2018.2 FSBL

Changes:

  • Set FSBL Boot Mode to JTAG
  • Disable Memory initialization

u-boot

U-Boot.elf is generated with PetaLinux. SDK/HSI is used to generate Boot.bin.

hello_te0726

Hello TE0726 is a Xilinx Hello World example as an endless loop instead of one console output and TE FSBL screen on HDMI Monitor.

Software Design -  PetaLinux

Page properties
hiddentrue
idComments
Note:
  • optional chapter separate

  • sections for linux

  • Add "No changes." or "Activate: and add List"

For PetaLinux installation and  project creation, follow instructions from:

Config

For 64MB variant only:

  • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x2000000

For 128MB variant only:

  • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x4000000

U-Boot

No changes.

Device Tree

Page properties
hiddentrue
idComments

----------------------------------------------------------

FPGA Example

scu

MCS Firmware to configure SI5338 and Reset System.

srec_spi_bootloader

TE modified 2018.3 SREC

Bootloader to load app or second bootloader from flash into DDR

Descriptions:

  • Modified Files: blconfig.h, bootloader.c
  • Changes:
    • Add some console outputs and changed bootloader read address.
    • Add bugfix for 2018.2 qspi flash

xilisf_v5_11

TE modified 2018.3 xilisf_v5_11

  • Changed default Flash type to 5.

----------------------------------------------------------

Zynq Example:

zynq_fsbl

TE modified 2018.3 FSBL

General:

  • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
  • Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\

  • General Changes: 
    • Display FSBL Banner and Device ID

Module Specific:

  • Add Files: all TE Files start with te_*
    • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on uboot  platform-top.h)
    • CPLD access
    • Read CPLD Firmware and SoC Type
    • Configure Marvell PHY

zynq_fsbl_flash

TE modified 2018.3 FSBL

General:

  • Modified Files: main.c
  • General Changes:
    •  Display FSBL Banner
    • Set FSBL Boot Mode to JTAG
    • Disable Memory initialisation

ZynqMP Example:

----------------------------------------------------------

zynqmp_fsbl

TE modified 2018.3 FSBL

General:

  • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c(search for 'TE Mod' on source code)
  • Add Files:  te_xfsbl_hooks.h/.c (for hooks and board)\n\
  • General Changes: 
    • Display FSBL Banner and Device Name

Module Specific:

  • Add Files: all TE Files start with te_*
    • Si5338 Configuration
    • ETH+OTG Reset over MIO

zynqmp_fsbl_flash

TE modified 2018.3 FSBL

General:

  • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
  • General Changes:
    •  Display FSBL Banner
    • Set FSBL Boot Mode to JTAG
    • Disable Memory initialisation


zynqmp_pmufw

Xilinx default PMU firmware.

----------------------------------------------------------

General Example:

hello_te0820

Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

u-boot

U-Boot.elf is generated with PetaLinux. SDK/HSI is used to generate Boot.bin.

SDK template in ./sw_lib/sw_apps/ available.

zynq_fsbl

TE modified 2019.2 FSBL

General:

  • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
  • Add Files: te_fsbl_hooks.h/.c(for hooks and board)\n\

  • General Changes: 
    • Display FSBL Banner and Device ID

Module Specific:

  • Add Files: all TE Files start with te_*
    • enable VTC and VDMA cores for debian desktop

zynq_fsbl_flash

TE modified 2019.2 FSBL

General:

  • Modified Files: main.c
  • General Changes:
    •  Display FSBL Banner
    • Set FSBL Boot Mode to JTAG
    • Disable Memory initialisation

hello_te0726

Hello TE0726 is a Xilinx Hello World example as an endless loop instead of one console output and TE FSBL screen on HDMI Monitor.

u-boot

U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

Software Design -  PetaLinux

Page properties
hiddentrue
idComments
Note:
  • optional chapter separate

  • sections for linux

  • Add "No changes." or "Activate: and add List"

For PetaLinux installation and  project creation, follow instructions from:

Config

Start with petalinux-config or petalinux-config --get-hw-description

For 64MB variant only:

  • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x2000000

For 128MB variant only:

  • CONFIG_SUBSYSTEM_NETBOOT_OFFSET = 0x4000000

U-Boot

Start with petalinux-config -c u-boot
Changes:

  • CONFIG_ENV_IS_NOWHERE=y

  • # CONFIG_ENV_IS_IN_SPI_FLASH is not set

Device Tree

Code Block
languagejs
/include/ "system-conf.dtsi"
/ {
};


/ {
    #address-cells = <1>;
    #size-cells = <1>;

    reserved-memory {
        #address-cells = <1>;
        #size-cells = <1>;
        ranges;
        hdmi_fb_reserved_region@1FC00000 {
            compatible = "removed-dma-pool";
            no-map;
            
Code Block
languagejs
/include/ "system-conf.dtsi"
/ {
};


/ {
    #address-cells = <1>;
    #size-cells = <1>;

    reserved-memory {
        #address-cells = <1>;
        #size-cells = <1>;
        ranges;
        hdmi_fb_reserved_region@1FC00000 {
            compatible = "removed-dma-pool";
            no-map;
            // 512M (M modules)
            reg = <0x1FC00000 0x400000>;
            // 128M (R modules)
            //reg = <0x7C00000 0x400000>;
        };
        camera_fb_reserved_region@1F800000 {
            compatible = "removed-dma-pool";
            no-map;
            // 512M (M modules)
            reg = <0x1F800000 0x400000>;
            // 128M (R modules)
            //reg = <0x7800000 0x400000>;
        };

    };

    hdmi_fb: framebuffer@0x1FC00000 {           // HDMI out 
        compatible = "simple-framebuffer";
        // 512M (M modules)
        reg = <0x1FC00000 (1280 * 720 * 4)>;    // 720p
        // 128M (R modules)
        //reg = <0x7C00000 (1280 * 720 * 4)>;   // 720p
        width = <1280>;                         // 720p
        height = <720>;                         // 720p
        stride = <(1280 * 4)>;                  // 720p
        format = "a8b8g8r8";
        status = "okay";
    };

    camera_fb: framebuffer@0x1F800000 {         // CAMERA in
        compatible = "simple-framebuffer";
        // 512M (M modules)
        reg = <0x1F800000 (1280 *reg 720= *<0x1FC00000 4)>0x400000>;
    // 720p
        // 128M (R modules)
            //reg = <0x7800000 (1280 * 720 * 4)>;   // 720p<0x7C00000 0x400000>;
        };
        width = <1280>;camera_fb_reserved_region@1F800000 {
            compatible = "removed-dma-pool";
            // 720pno-map;
        height = <720>;  // 512M (M modules)
            reg = <0x1F800000 0x400000>;
     // 720p
       // stride128M = <(1280R * 4)>;    modules)
              //reg 720p
= <0x7800000 0x400000>;
      format = "a8b8g8r8"};

    };

    vcchdmi_3V3fb: fixedregulator@0framebuffer@0x1FC00000 {
        compatible = "regulator-fixed";   // HDMI out 
        regulator-namecompatible = "vccauxsimple-supplyframebuffer";
        regulator-min-microvolt = <3300000>;// 512M (M modules)
        regulator-max-microvoltreg = <3300000>;
<0x1FC00000 (1280 * 720 * 4)>;   regulator-always-on;  // 720p
    };
};

&qspi {
   // #address-cells = <1>;128M (R modules)
    #size-cells = <0>;
    status//reg = "okay";
    flash0: flash@0 {<0x7C00000 (1280 * 720 * 4)>;   // 720p
        compatiblewidth = "jedec,spi-nor";
<1280>;        reg = <0x0>;
        #address-cells = <1>;
        #size-cells = <1>;// 720p
        spi-max-frequencyheight = <50000000><720>;
        partition@0x00000000 {
            label = "boot";
  // 720p
        stride reg= =<(1280 <0x00000000* 0x00500000>4)>;
        };
        partition@0x00500000 {
 // 720p
        format  label = "bootenv= "a8b8g8r8";
        status = "okay";
  reg = <0x00500000 0x00020000>};

    camera_fb: framebuffer@0x1F800000 {  };
       // partition@0x00520000CAMERA {in
         compatible   label = "kernelsimple-framebuffer";
        // 512M (M modules)
 reg = <0x00520000 0x00a80000>;
    reg = <0x1F800000 (1280 };
* 720 * 4)>;    // partition@0x00fa0000 {720p
        // 128M (R modules)
 label = "spare";
     //reg = <0x7800000 (1280 * 720  reg = <0x00fa0000 0x00000000>;
  * 4)>;   // 720p
      };
  width = };
};

/*
* We need to disable Linux VDMA driver as VDMA
* already configured in FSBL
*/
&video_in_axi_vdma_0 {
   status = "disabled";
};

&video_out_axi_vdma_0 {
   status = "disabled";
};

&video_out_v_tc_0 {
    //xilinx-vtc: probe of 43c20000.v_tc failed with error -2
    status = "disabled";
};

&gpio0 {
    interrupt-controller;
    #interrupt-cells = <2>;
};

&i2c1 {
    #address-cells = <1>;
    #size-cells = <0>;

    i2cmux0: i2cmux@70  {
<1280>;                         // 720p
        height = <720>;                         // 720p
        stride = <(1280 * 4)>;         compatible = "nxp,pca9544";
        #address-cells = <1>;// 720p
        #size-cellsformat = <0>"a8b8g8r8";
    };

    reg = <0x70>;

vcc_3V3: fixedregulator@0 {
        i2c1@0 {compatible = "regulator-fixed";
            #address-cellsregulator-name = <1>"vccaux-supply";
            #size-cellsregulator-min-microvolt = <0><3300000>;
            reg regulator-max-microvolt = <0><3300000>;

        regulator-always-on;
    id_eeprom@50};
};

&qspi {
    #address-cells = <1>;
    #size-cells = <0>;
    compatiblestatus = "atmel,24c32okay";
    flash0: flash@0 {
        compatible  reg = <0x50>"jedec,spi-nor";
        reg = <0x0>;
  };

      #address-cells = }<1>;
        i2c1@1#size-cells {= <1>;
   // Display Interface Connector
  spi-max-frequency = <50000000>;
        #address-cells = <1>;partition@0x00000000 {
            #size-cellslabel = <0>"boot";
            reg = <0x00000000 <1>0x00500000>;
        };
        i2c1@2partition@0x00500000 {    // HDMI Interface Connector
            #address-cellslabel = <1>"bootenv";
            #size-cellsreg = <0><0x00500000 0x00020000>;
        };
       reg =partition@0x00520000 <2>;{
            label = }"kernel";
        i2c1@3   { reg = <0x00520000 //0x00a80000>;
 Camera Interface Connector
     };
       #address-cells =partition@0x00fa0000 <1>;{
            #size-cellslabel = <0>"spare";
            reg = <0x00fa0000 <3>0x00000000>;
        };
    };

};

/{*
* We need to usb_phy0: usb_phy@0 {
        compatible = "ulpi-phy";
        #phy-cells = <0>;
        reg = <0xe0002000 0x1000>;
        view-port = <0x0170>;
        drv-vbus;
    }disable Linux VDMA driver as VDMA
* already configured in FSBL
*/
&video_in_axi_vdma_0 {
   status = "disabled";
};

&video_out_axi_vdma_0 {
   status = "disabled";
};

&video_out_v_tc_0 {
    //xilinx-vtc: probe of 43c20000.v_tc failed with error -2
    status = "disabled";
};

&usb0gpio0 {
    usb-phyinterrupt-controller;
    #interrupt-cells = <&usb_phy0><2>;
} ;

/*
* Sound configuration
*/

/&i2c1 {
    // Custom driver based on spdif-transmitter #address-cells = <1>;
    #size-cells = <0>;

    te_audioi2cmux0: i2cmux@70 dummy_codec_te {
        compatible = "tenxp,te-audiopca9544";
        #sound#address-dai-cells = <0><1>;
    };

    // Simple Audio Card from AXI_I2S and custom XADC audio input and
    // PWM audio output cores#size-cells = <0>;
        reg = <0x70>;


        i2c1@0 {
    sound {
        compatible#address-cells = "simple-audio-card"<1>;
            simple-audio-card,name#size-cells = "TE0726-PWM-Audio"<0>;
        simple-audio-card,format = "i2s";
  reg = <0>;

    simple-audio-card,widgets =
       id_eeprom@50 {
    "Microphone", "In Jack",
          compatible = "Line"atmel, 24c32"Line;
 In Jack",
            "Line", "Line Out Jack",
  reg = <0x50>;
            };

      "Headphone", "Out Jack";

};
        i2c1@1 {    // Display Interface Connector
         simple-audio-card,routing =
            "Out Jack", "te-out",
            "te-in", "In Jack";

        simple-audio-card,cpu {
            sound-dai = <&audio_axi_i2s_adi_0>;
        };
        simple-audio-card,codec {
            sound-dai = <&te_audio>;
        };
    };
};

&audio_axi_i2s_adi_0 {
    compatible = "adi,axi-i2s-1.00.a";
    reg = <0x43c00000 0x1000>;
    clocks = <&clkc 15>, <&clkc 18>; // FCLK_CLK0, FCLK_CLK3
    clock-names = "axi", "ref";
    dmas = <&dmac_s 0 &dmac_s 1>;
    dma-names = "tx", "rx";
    #sound-dai-cells = <0>;
};

/*
* We need to disable Linux XADC driver to use XADC for audio recording
*/
&adc {
    status = "disabled";
};

Kernel

Activate:

  • CONFIG_XILINX_GMII2RGMII
  • CONFIG_USB_USBNET
  • CONFIG_USB_NET_SMSC95XX
  • CONFIG_USBIP_CORE
  • CONFIG_FB_SIMPLE
  • CONFIG_SND_SIMPLE_CARD
  • CONFIG_SND_SOC_ADI_AXI_I2S

Deactivate:

  • FRAMEBUFFER_CONSOLE

Rootfs

   #address-cells = <1>;
            #size-cells = <0>;
            reg = <1>;
        };
        i2c1@2 {    // HDMI Interface Connector
            #address-cells = <1>;
            #size-cells = <0>;
            reg = <2>;
        };
        i2c1@3 {    // Camera Interface Connector
            #address-cells = <1>;
            #size-cells = <0>;
            reg = <3>;
        };
    };

};

/{
    usb_phy0: usb_phy@0 {
        compatible = "ulpi-phy";
        #phy-cells = <0>;
        reg = <0xe0002000 0x1000>;
        view-port = <0x0170>;
        drv-vbus;
    };
};

&usb0 {
    usb-phy = <&usb_phy0>;
} ;

/*
* Sound configuration
*/

/{
    // Custom driver based on spdif-transmitter
    te_audio: dummy_codec_te {
        compatible = "te,te-audio";
        #sound-dai-cells = <0>;
    };

    // Simple Audio Card from AXI_I2S and custom XADC audio input and
    // PWM audio output cores
    sound {
        compatible = "simple-audio-card";
        simple-audio-card,name = "TE0726-PWM-Audio";
        simple-audio-card,format = "i2s";
        simple-audio-card,widgets =
            "Microphone", "In Jack",
            "Line", "Line In Jack",
            "Line", "Line Out Jack",
            "Headphone", "Out Jack";

        simple-audio-card,routing =
            "Out Jack", "te-out",
            "te-in", "In Jack";

        simple-audio-card,cpu {
            sound-dai = <&audio_axi_i2s_adi_0>;
        };
        simple-audio-card,codec {
            sound-dai = <&te_audio>;
        };
    };
};

&audio_axi_i2s_adi_0 {
    compatible = "adi,axi-i2s-1.00.a";
    reg = <0x43c00000 0x1000>;
    clocks = <&clkc 15>, <&clkc 18>; // FCLK_CLK0, FCLK_CLK3
    clock-names = "axi", "ref";
    dmas = <&dmac_s 0 &dmac_s 1>;
    dma-names = "tx", "rx";
    #sound-dai-cells = <0>;
};

/*
* We need to disable Linux XADC driver to use XADC for audio recording
*/
&adc {
    status = "disabled";
};

Kernel

Start with petalinux-config -c kernel

Changes:

  • CONFIG_XILINX_GMII2RGMII=y

  • CONFIG_USB_USBNET=y

  • CONFIG_USB_NET_AX8817X=y

  • CONFIG_USB_NET_AX88179_178A=y

  • CONFIG_USB_NET_CDCETHER=y

  • # CONFIG_USB_NET_CDC_EEM is not set

  • CONFIG_USB_NET_CDC_NCM=y

  • # CONFIG_USB_NET_HUAWEI_CDC_NCM is not set

  • # CONFIG_USB_NET_CDC_MBIM is not set

  • # CONFIG_USB_NET_DM9601 is not set

  • # CONFIG_USB_NET_SR9700 is not set

  • # CONFIG_USB_NET_SR9800 is not set

  • # CONFIG_USB_NET_SMSC75XX is not set

  • CONFIG_USB_NET_SMSC95XX=y

  • # CONFIG_USB_NET_GL620A is not set

  • CONFIG_USB_NET_NET1080=y

  • # CONFIG_USB_NET_PLUSB is not set

  • # CONFIG_USB_NET_MCS7830 is not set

  • # CONFIG_USB_NET_RNDIS_HOST is not set

  • CONFIG_USB_NET_CDC_SUBSET_ENABLE=y

  • CONFIG_USB_NET_CDC_SUBSET=y

  • # CONFIG_USB_ALI_M5632 is not set

  • # CONFIG_USB_AN2720 is not set

  • CONFIG_USB_BELKIN=y

  • CONFIG_USB_ARMLINUX=y

  • # CONFIG_USB_EPSON2888 is not set

  • # CONFIG_USB_KC2190 is not set

  • CONFIG_USB_NET_ZAURUS=y

  • # CONFIG_USB_NET_CX82310_ETH is not set

  • # CONFIG_USB_NET_KALMIA is not set

  • # CONFIG_USB_NET_QMI_WWAN is not set

  • # CONFIG_USB_NET_INT51X1 is not set

  • # CONFIG_USB_SIERRA_NET is not set

  • # CONFIG_USB_VL600 is not set

  • # CONFIG_USB_NET_CH9200 is not set

  • CONFIG_USBIP_CORE=y

  • # CONFIG_USBIP_VHCI_HCD is not set

  • # CONFIG_USBIP_HOST is not set

  • # CONFIG_USBIP_VUDC is not set

  • # CONFIG_USBIP_DEBUG is not set

  • CONFIG_FB_SIMPLE=y

  • CONFIG_SND_SIMPLE_CARD_UTILS=y

  • CONFIG_SND_SIMPLE_CARD=y

  • # CONFIG_FRAMEBUFFER_CONSOLE is not set

Rootfs

Start with petalinux-config -c rootfs

AvtivateActivate:

  • i2c-tools
  • alsa-plugins
  • alsa-lib-dev
  • libasound
  • alsa-conf-base
  • alsa-conf
  • alsa-utils
  • alsa-utils-aplay
  • busybox-httpd

Applications

startup

Script App to load init.sh from SD Card if available.

See: \os\petalinux\project-spec\meta-user\recipes-apps\startup\filesAdd on new project:

  • petalinux-create -t apps -n startup --enable
  • copy/create startup app files

rpicam

Application used to enable and configure Raspbery Pi camera module

See: \os\petalinux\project-spec\meta-user\recipes-apps\rpicam\files

Add on new project:

  • petalinux-create -t apps -n rpicam --enable
  • copy/create rpicam app files

fbgrab

fbgrab

Application used to take screenshot from camera

See: \os\petalinux\project-spec\meta-user\recipes-apps\fgrab

webfwu

Webserver application accemble for Zynq access. Need busybox-httpdApplication used to take screenshot from camera

See: \os\petalinux\project-spec\meta-user\recipes-apps\fgrab

Add on new project:

...

\webfwu\files

Kernel Modules

te-audio-codec

...

See: \os\petalinux\project-spec\meta-user\recipes-modules\te-audio-codec\files

Add on new project:

  • petalinux-create -t modules -n te-audio-codec --enable
  • copy/create te-audio-codec module files

Core base files

profile

Simple profile for alias

See: \project-spec\meta-user\recipes-core\base-files\files\profile

Add on new project:

...

te-audio-codec\files

Additional Software

Page properties
hiddentrue
idComments
Note:
  • Add description for other Software, for example SI CLK Builder ...
  • SI5338 and SI5345 also Link to:

...

Scroll Title
anchorTable_dch
titleDocument change history.

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths2*,*,3*,4*
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

DateDocument Revision

Authors

Description

Page info
modified-date
modified-date
dateFormatyyyy-MM-dd

Page info
infoTypeCurrent version
dateFormatyyyy-MM-dd
prefixv.
typeFlat

Page info
infoTypeModified by
dateFormatyyyy-MM-dd
typeFlat

  • design linux sources update

typeFlat

  • typo
  • Programming issue note
2020-03-25v.14John Hartfiel
  • script update
2020-02-20v.13Mohsen Chamanbaz
  • Vivado 2019.2 release
2020-01-14v.11Mohsen Chamanbaz
  • Vivado 2018.3 release
2019-02-12v.10John Hartfiel
  • design linux source update

2018-12-05

v.7John Hartfiel
  • 18Vivado 2018.2 release
--all

Page info
infoTypeModified users
dateFormatyyyy-MM-dd
typeFlat

--


...