Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Scroll Only (inline)
Online version of this manual and other related documents can be found at https://wiki.trenz-electronic.de/display/PD/

...

Project+Delivery+-+Intel+devices

Custom_table_size_100

Quick Start

Scroll Ignore
scroll-pdf

...

Table of contents

Table of Contents
outlinetrue

...

true
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue

The most Trenz Electronic FPGA Reference Designs are TCL-script based projects.

The "normal" Quartus project will be generated in the subfolder "/quartus/" and the additional software part will be generated in the subfolder "/

...

software/" after executing scripts.

There are several options to create the Vivado project from the project delivery. These options are described in Vivado Projects.

...

To create project do the following steps:

...

  1. Execute "

...

  1. create_

...

  1. project_

...

  1. win.cmd"

...

  1. or "create_project_

...

  1. linux.

...

  1. sh"

...

  1. Select your board in "Board selection"
  2. Click on "Create project" button

For more details and manual configuration of design basic settings, see Reference Design - Getting Started.

Note

For Problems, please check Checklist / Troubleshoot at first.

Zip Project Delivery

Zip Name Description

...

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue

Zip Name Description

DescriptionPCB Name
Project Name+(opt. Variant)
supported Quartus Version
Date
Example:TEI0006

...

-test_board(_noprebuilt)-quartus_

...

22.

...

4-

...

20230918161807.zip

Last supported Release

Type or FileVersionNote
Quartus

...

Prime

...

22.1 Lite / 22.4 Pro--
Trenz Project Scripts

...

22.0--
Trenz <board_series>_devices.csv1.

...

Currently limitations of functionality

      • no current limitations of functionality

Directory structure

...

Command Files

Windows Command Files

...

Settings for the other *.cmd files. Following Settings are avaliable:

  • General Settings:
    • (optional) DO_NOT_CLOSE_SHELL: Shell do not closed after processing
    • (optional) ZIP_PATH: Set Path to installed Zip-Program. Currently 7-Zip is supported. Used for predefined TCL-function to backup project.
  • Intel setting:
    • QUADIR: Set Intel installation path (Default: c:\intelFPGA_lite).
    • QUARTUS_VERSION: Current Quartus Version (Example:18.1). Don't change Quartus Version.
      • Intel Software will be searched in:
      • QUARTUS (optional for project creation and programming): %QUADIR%\%QUARTUS_VERSION%\ quartus\

      • SDK (optional for software projects and programming): %QUADIR%\%QUARTUS_VERSION%\ nios2eds\

...

Hardware Design

...

Create Project with settings from "design_basic_settings.cmd" and source folders. Build all Quartus hardware and software files if the sources are available.

If old quartus project exists, type "y" into the command line input to delete  "<design_name>/quartus/", and "<design_name>/software/" directory with related files before project will created again.

TE-TCL-Extensions

...

Create new Block-Design with initial Setting for PS, for predefined bd_names:
fsys→Fabric Only, msys→Microblaze, zsys→7Series Zynq, zusys→UltraScale+ Zynq

Typ TE::hw_blockdesign_create_bd -help for more information

...

Copies current Hardware files and reports from the vivado project to the prebuilt folder, if -prebuild_hdf <arg> isn't set.
Copy the Hardware Defintition file to the working directory:<design_name>/workspace/hsi
Run HSI in <design_name>/workspace/hsi for all Programes listed in <design_name>/sw_lib/apps_list.csv
If HSI is finished, BIF-GEN and BIN-Gen are running for these Apps in the prepuilt folders <design_name>/prebuilt/...
You can deactivate different steps with following args :

  • -no_hsi  : *.elf filesgeneration is disabled
  • -no_bif   : *.bif files generation is disabled
  • -no_bin  : *.bin files generation is disabled
  • -no_bitmcs: *.bit and *.mcs file (with software design) is disabled

...

Copies current Hardware files and reports from the vivado project to the prebuilt folder, if -prebuild_hdf <arg> isn't set.
Copy the Hardware Defintition file to the working directory:<design_name>/workspace/sdk
Start SDK GUI in this workspace

...

Copies current Hardware files and reports from the vivado project to the prebuilt folder, if -used_board <arg> isn't set (Vivado only).
Programming Bitfile from <design_name>/prebuilt/hardware/<board_file_shortname> to the fpga device.
If "-used_basefolder_bitfile" is set, the Bitfile (*.bit)  from the base folder (<design_name>) is used instead of the prebuilts. Attention: Take only one Bitfile in the basefolder!

(MicroBlaze only) If "-swapp" is set, the Bitfile with *.elf configuration is used from <design_name>/prebuilt/boot_images/<board_file_shortname>/<app_name>

...

Attention: For Zynq Systems only!
Program the Bootbin from <design_name>/prebuilt/boot_images/<board_file_shortname>/<app_name> to the fpga device.
Appname is selected with: -swapp <app_name>
After programming device reboot from memory will be done.
Default SDK Programmer is used, if not available LabTools Programmer is used.
If "-used_basefolder_binfile" is set, the Binfile (*.bin)  from the base folder (<design_name>) is used instead of the prebuilts. Attention: Take only one Binfile in the basefolder!

...

Copies current Hardware files and reports from the vivado project to the prebuilt folder, if -used_board <arg> isn't set (Vivado only).
Initialise flash memory with configuration from *_board_files.csv
Programming  MCSfile from <design_name>/prebuilt/hardware/<board_file_shortname> to the Flash Device.
After programming device reboot from memory will be done.
If "-used_basefolder_binfile" is set, the MCSfile (*.mcs)  from the base folder (<design_name>) is used instead of  the prebuilts. Attention: Take only one MCSfile in the basefolder!

(MicroBlaze only) If "-swapp" is set, the MCSfile with *.elf configuration is used from <design_name>/prebuilt/boot_images/<board_file_shortname>/<app_name>

...

Make a Backup from your Project in <design_name>/backup/

Zip-Program Variable must be set in start_settings.cmd. Currently only 7-Zip is supported.

1--
Trenz zip_ignore_list.csv1.2--
Trenz zip.teinfo1.0--
Trenz mod_list.csv1.3--
Trenz apps_list.csv1.1--
Trenz prod_cfg_list.csv1.1internal usage only

Currently limitations of functionality

      • Quartus Prime Lite 19.1 for Windows: requires a patch
      • Quartus Prime Lite 20.1.1 for Windows:
        • Reason: Error: (11133): IP component  with file "<path to file>" upgrade failed
        • Workaround: Add "<ALIAS>GPIO Lite Intel FPGA IP v20.1</ALIAS>" to *.lst file in Quartus installation directory: e.g. C:\intelFPGA_lite\20.1\ip\altera\altera_gpio_lite\altera_gpio_lite_wizard.lst
      • Quartus Prime Lite 21.1 for Windows:
        • Reason: Error: (11133): IP component  with file "<path to file>" upgrade failed
        • Workaround: Add "<ALIAS>GPIO Lite Intel FPGA IP v21.1</ALIAS>" to altera_gpio_lite_wizard.lst file in Quartus installation directory: C:\intelFPGA_lite\21.1\ip\altera\altera_gpio_lite\altera_gpio_lite_wizard.lst

Directory structure

File or DirectoryTypeDescription
<project folder>work, base directoryBase directory with predefined batch files (*.cmd, *.sh) to create quartus project, open quartus project or program device
<project folder>/backup/generated(Optional) Directory for project backups
<project folder>/_binaries_<articlenumber>generatedexport directory for binaries (run create_project_win.cmd (Win OS) / create_project_linux.sh (Linux OS)" and select "Export prebuilt files" button)
<project folder>/board_files/sourceLocal list of available board variants (<board_series>_devices.csv)
<project folder>/log/generated(Temporary) Directory with quartus log files (used only with predefined commands from tcl scripts, otherwise this logs will be writen into the quartus work directory)
<project folder>/prebuilt/prebuiltContains subfolders for different board variants
<project folder>/prebuilt/<board_part_shortname>prebuiltDirectory with prebuilt programming files (*.pof, *.sof or *.jic, *.rbf) for FPGA and different source files for hardware (*.sopcinfo) and software (*.elf) included in subfolders
<project folder>/prebuilt/<board_part_shortname>/programming_files/prebuiltDirectory with prebuilt programming files (*.pof, *.sof or *.jic, *.rbf)
<project folder>/prebuilt/<board_part_shortname>/hardware/prebuiltDirectory with prebuilt hardware sources (*.sopcinfo)
<project folder>/prebuilt/<board_part_shortname>/software/prebuilt(Optional) Directory with prebuilt software sources (*.elf)
<project folder>/prebuilt/<board_part_shortname>/os/prebuilt(Optional)  Directory with predefined OS images included in subfolders
<project folder>/quartus/generated(Temporary) Directory where quartus project is created. Quartus project file is <project_name>.qpf
<project folder>/scripts/sourceTCL scripts to build a project
<project folder>/settings/source(Optional) Additional design settings: zip_ignore_list.csv, mod_list.csv, design_basic_settings.tcl, zip.teinfo

<project folder>/software/

generated(Temporary) Directory with additional software project
<project folder>/os/generated(Temporary) Directory with additional OS files in subfolders
<project folder>/source_files/sourceDirectory with source files needed for create project

<project folder>/source_files/quartus/

<project folder>/source_files/<Board Part Shortname>/quartus

source

Source files for quartus project

(Optional) Source files for specific assembly variants

<project folder>/source_files/software/

<project folder>/source_files/<Board Part Shortname>/software

source

(Optional) Source files for additional software

(Optional) Source files for specific assembly variants

<project folder>/source_files/os/source(Optional) Directory with additional os sources in in subfolders "<os_name>"

Command Files

create_project_win.cmd/create_project_linux.sh

Use to create project, open project or program device.

'Create project' GUI - exampleImage Added

  • Board selection

Select your board from listed modules. To find easier the correct board you can use the filter function. Click on "Clear filter" button to reset the filter and show all available modules.

  • Documentation

Some links to more information about the board, reference design, schematics and create_project_win.cmd/create_project_linux.sh gui.

  • Messages

Messagebox shows different info, warning and error messages.

  • Buttons
    1. Create project→ start create project from source files for selected board in "Board selection".
    2. Open project → open existing project in quartus prime gui.
    3. Program device → opens "Program device" window:
      'Program device' window - example Image Added
        • Select between "Program prebuilt file" (if available, download reference design with prebuilt files is required) and "Program other file" (select your own generated file via "Browse ..." button).
        • Buttons:
          • Browse ... → choose path to own generated programming file
          • Start program device → start program device with selected programming file
          • Open quartus programmer → open Quartus Programmer GUI
          • Cancel → Quit "Program device" window
    4. Export prebuilt files → export prebuilt files for the selected board to "<project folder>/_binaries_<articlenumber>/"



Design Environment - Usage

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue

Reference Design - Getting Started

Create project:

  1. Run "create_project_win.cmd" or "create_project_linux.sh"
    • "<project folder>/settings/desgin_basic_settings.tcl" will be configured automatically
  2. select your Board in "Board selection" section
  3. click on "Create project" to generate project for selected board

Manual configuration of the design basic settings:

Warning

Attention: Scripts are supported only with predefined quartus version!

  • Open “<project folder>/settings/design_basic_settings.tcl” with a text editor:
     Set correct quartus environment:
           Example for quartus lite edition:
               QUARTUS_PATH_WIN=C:/intelFPGA_lite (quartus installation path for Win OS)
               QUARTUS_PATH_LINUX=~/intelFPGA_lite (quartus installation path for Linux OS)
               QUARTUS_VERSION=22.1std
               QUARTUS_EDITION=Lite
           Example for quartus pro edition:
               QUARTUS_PATH_WIN=C:/intelFPGA_pro (quartus installation path for Win OS)
               QUARTUS_PATH_LINUX=~/intelFPGA_pro (quartus installation path for Linux OS)
               QUARTUS_VERSION=22.4
               QUARTUS_EDITION=Pro
            Software settings are searched in (e.g. for Win OS):
               %QUARTUS_PATH_WIN%/%QUARTUS_VERSION%/quartus/
               %QUARTUS_PATH_WIN%/%QUARTUS_VERSION%/nios2eds/
                %QUARTUS_PATH_WIN%/%QUARTUS_VERSION%/niosv/
            Example directory: C:/intelFPGA_pro/22.4/

Programming FPGA or flash memory:

  • General steps:
        1. Connect your Hardware-Modul to the PC via JTAG
        2. Open create_project_win.cmd/create_project_linux.sh
        3. Select correct board in "Board selection"
        4. Click on "Program device" button → The "Program device" window opens.
  • Program with prebuilt files: (download reference design with prebuilt files is required)
        4. Select "Program prebuilt file"
        5. Click on "Start program device" button
  • Program with own generated files:
        6. Select "Program other file"
        7. Click on "Browse ..." to choose path to your own generated file (supported file types: *.jic, *.pof, *.sof)
        8. Click on "Start program device" button
  • Program device via Quartus Programmer:
        9. Click on  "Open quartus programmer"
      10. Select from Programmer top menu: Edit → Hardware Setup, select "Arrow-USB-Blaster *" and close window
      11. Click on "Add File..." and choose correct programming file
      12. Enable "Program/Configure" checkbox and click on "Start" to program the device with the selected programming file

Design Environment: Usage

Reference-Design: Getting Started

  • Install Quartus Prime 18.1 Lite Edition
  • Automatically configuration of the reference-designs:
    • Run "create_project_win.cmd" and follow instructions.
        • "design_basic_settings.cmd" will be configured over this menu
  • Manual Configure the reference-design:
    • Open “design_basic_settings.cmd” with a text-editor:
          a. Set correct Quartus Environment:
              @set QUADIR=C:/intelFPGA_lite
              @set QUARTUS_VERSION=18.1
              Program settings will be search in :
              %QUADIR%/%QUARTUS_VERSION%/quartus/
             %QUADIR%/%QUARTUS_VERSION%/nios2eds/
              Example directory: c:/intelFPGA_lite/18.1/
              Attention: Scripts are supported only with predefined Quartus Version!
  • Create and compile project:
    • select "c" to create project
    • if project already exists, choose between "y" for delete and create project again or "n" to keep project
    • after project ist created or project already exists, select "m" to compile project
  • Programming FPGA or flash memory with prebuilt files:

    • Connect your Hardware-Modul with PC via JTAG.

    • Select "p" and press enter
    • Quartus Programmer will be open
    • Select "Add File..." and open correct file from /prebuilt/<device_list_shortname>/programming_files/
    • Start programming FPGA or flash memory

Basic Design Settings

Initialise TE-scripts on Vivado/LabTools

  • Variant 1 (recommended):
    • Start the project with the predefined command file (vivado_open_existing_project_guimode.cmd) respectively LabTools with (labtools_open_project_guimode.cmd)
  • Variant 2:
    • Create your own Initialisation Button on the Vivado GUI:
      • Tools → Customize Commands → Customize Commands...
      • Push (plus)
      • Type Name ex.: Init Scripts
      • Press Enter
      • Select Run command and insert:
        • for Vivado: cd [get_property DIRECTORY [current_project]]; source -notrace "../scripts/reinitialise_all.tcl"
        • for LabTool: cd [pwd]; source -notrace "../scripts/reinitialise_all.tcl"
      • Press Enter
      • A new Button is shown on the Vivado Gui: All Scripts are reinitialised, if you press this Button.
  • Variant 3:
    • Reinitialise Script on Vivado TCL-Console:
      • Type: source ../scripts/reinitialise_all.tcl

Use predefined TE-Script functions

...

  • Typ function on Vivado TCL Console, ex.: TE::help
  • TE::help
    • Show all predefined TE-Script functions.
  • TE:<functionname> -help 
    • Show short description of this function.
    • Attention: If -help argument is set, all other args will be ignored. 

...

  • Variante 1 (no Vivado request window for args):
    • insert function and used args, ex.: TE::sw_program_zynq -swapp hello_world
  • Variant 2 (Vivado request window for args):
    • insert function, ex.:TE::sw_program_zynq
    • Press Define Args...
    • For every arg:
      • Push (plus)
      • Typ Name, Comment, Default Value and set optional
      • Press Enter
      • Example for args:
        • Push (plus)
        • Index, Key Name, -swapp, (tick)
        • Push (plus)
        • Appname, Arg, hello_world, (tick)
      •  

...

Hardware Design

Device list CSV Description

Device list csv file is used for TE-Scripts only.

NameDescriptionValue
IDID to identify the board variant of the module series, used in TE-ScriptsNumber, should be unique in csv list
PRODIDProduct IDProduct Name
FAMILYFPGA family, used in Quartus and TE-Scriptsdevice family, which is available in Quartus, ex. MAX 10
DEVICEFPGA device, used in Quartus and TE-Scriptsdevice, which is available in Quartus, ex. 10M08SAU169C8G
SHORTNAMESubdirectory name, used for multi board projects to get correct sources and save prebuilt dataname to save prebuilt files or search for sources
FLASHTYPFlash typ used  for programming Devices via

...

Quartus/LabTools

"<Flash Name from Quartus>|<SPI Interface>" or "NA" , NA is not defined

FLASH_SIZESize of Module Flashuse MB, for ex. "64MB" or "NA" if not available
DDR_DEVDDR ModuleDDR module name
DDR_SIZESize of Module DDRuse GB or MB, for ex. "2GB" or "512MB" or "NA" if not available
PCB_REVSupported PCB Revision"<supported PCB Revision>|<supported PCB Revision>", for ex. "REV02" or "REV03|REV02"

...

...

NOTESAdditional

...

SDC Conventions

...

notes

Advanced Usage

Attention not all features

...

User defined device list csv file

To modifiy current deivce list csv list, make a copy of the original csv and rename with suffix "_mod.csv", ex.TEI0001_devices.csv as TEI0001_devices_mod.csv. Scripts used modified csv instead of the original file.

See Chapter Board Part Files for more information.

User defined Settings

Vivado settings:

Vivado Project settings (corresponding TCL-Commands) can be saved as a user defined file "<design_name>/settings/project_settings.tcl". This file will be loaded automatically on project creation.

Script settings:

Additional script settings (only some predefined  variables) can be  saved as a user defined file "<design_name>/settings/development_settings.tcl". This file will be loaded automatically on script initialisation.

Design settings:

...

of the TE-Scripts are supported in the advanced usage!

User defined device list csv file

To modifiy current device csv list, make a copy of the original csv and rename with suffix "_mod.csv", ex.TEI0006_devices.csv as TEI0006_devices_mod.csv. Scripts use modified csv instead of the original file.

User defined Settings

  • ZIP ignore list:
    • Files which should not be added in the backup file can be defined in this file: "<project folder>/settings/zip_ignore_list.csv". This file will be loaded automatically on script initialisation.

ZIP ignore list:

Files which should not be added in the backup file can be can be defined in this file: "<design_name>/settings/zip_ignore_list.tcl". This file will be loaded automaticaly on script initialisation.

SDSOC settings:

SDSOC settings will are deposited on the following folder: "<design_name>/settings/sdsoc"

 

User defined TCL Script

TCL Files from "<design_name>/settings/usr" will be load automaticaly on script initialisation.

SDSOC-Template

SDSOC description and files to generate SDSoC project are deposited on the following folder: "<design_name>/settings/sdsoc"

HDL-Design

HDL files can be saved in the subfolder "<design_name>/hdl/" as single files or <design_name>/hdl/folder/ and all subfolders or "<design_name>/hdl/<shortname>" and all subfolders of "<design_name>/hdl/<shortname>". They will be loaded automatically on project creation. Available formats are *.vhd, *.v and *.sv.  A own top-file must be specified with the name "<design_name>_top.v" or "<design_name>_top.vhd".

To set file attributes, the file name must include "_simonly_" for simulation only and "_synonly_" for synthese only.

RTL-IP-cores (*.xci). can be saved in the subfolder "<design_name>/hdl/xci" or "<design_name>/hdl/xci/<shortname>". They will be loaded automatically on project creation.

 

Checklist / Troubleshoot

  1. Are you using exactly the same Vivado version? If not then the scripts will not work, no need to try.
  2. Ary you using Vivado in Windows PC? Vivado works in Linux also, but the scripts are tested on Windows only.
  3. Is you PC OS Installation English? Vivado may work on national versions also, but there have been known problems.
  4. Win OS only: Use short path name, OS allows only 256 characters in normal path.
  5. Linux OS only: Use bash as shell and add access rights to bash files. Check with "ls ls /bin/sh". It should be desplay: /bin/sh -> bash. Access rights can be changed with "chmod"
  6. Are space character on the project path? Somtimes TCL-Scripts can't handle this correctly. Remove spaces from project path.
  7. Did you have the newest reference design build version? Maybe it's only a bug from a older version.
  8. Check <design_name>/v_log/vivado.log? If no logfile exist, wrong xilinx paths are set in design_basic_settings.cmd
  9. On project creation process old files will be deleted. Sometimes the access will be denied by os (synchronisiation problem) and the scripts canceled. Please try again. 
  10. If nothing helps, send a mail to Trenz Electronic Support (support[at]trenz-electronic.de) with subject line "[TE-Reference Designs] ",  the complete zip-name from your reference design and the last log file (<design_name>/v_log/vivado.log)

References

  1. Vivado Design Suite User Guide - Getting Started  (UG910)
  2. Vivado Design Suite User Guide - Using the Vivado IDE (UG893)
  3. Vivado Design Suite User Guide - I/O and Clock Planning (UG899)
  4. Vivado Design Suite User Guide - Programming and Debugging (UG908)
  5. Zynq-7000 All Programmable SoC Software Developers Guide (UG821)
  6. SDSoC Environment User Guide - Getting Started (UG1028)
  7. SDSoC Environment -  User Guide (UG1027)
  8. SDSoC Environment User Guide - Platforms and Libraries (UG1146)

...

  • mod list:
    • List with commands to modify source files during project creation (<project folder>/settings/mod_list.csv).
  • apps list:
    • List with software projects assigned to the correct quartus project and *.sopcinfo file (<project folder>/source_files/software/apps_list.csv).
  • Qsys preset files:
    • Predefined settings for Qsys IP Components (<project folder>/source_files/quartus/ip/presets/*.qprs). They will be copied to <project folder>/quartus/ip/presets folder on project creation.

Checklist / Troubleshoot

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue

  1. Are you using exactly the same Quartus version? If not then the scripts will not work, no need to try.
  2. Are you using Quartus on Windows PC? Quartus works in Linux also, but the scripts are tested on Windows only.
  3. Win OS only: Use short path name, OS allows only 256 characters in normal path.
  4. Linux OS only: Use bash as shell and add access rights to bash files. Check with "ls /bin/sh". It should be display: /bin/sh -> bash. Access rights can be changed with "chmod"
  5. Are space character on the project path? Somtimes TCL-Scripts can't handle this correctly. Remove spaces from project path.
  6. Did you have the newest reference design build version? Maybe it's only a bug from a older version.
  7. On project creation process old files will be deleted. Sometimes the access will be denied by os (synchronisiation problem) and the scripts canceled. Please try again. 
  8. If nothing helps, send a mail to Trenz Electronic Support (support[at]trenz-electronic.de) with subject line "[TE-Reference Designs] ",  the complete zip-name from your reference design.

References

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue

  1. Intel Quartus Prime User Guide: Getting Started (UG-20129)
  2. Intel Quartus Prime User Guide: Platform Designer (UG-20130)
  3. Intel Quartus Prime User Guide: Design Compilation (UG-20132)
  4. Intel Quartus Prime User Guide: Scripting (UG-20144)

Document Change History

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue

To get content of older revision  got to "Change History"  of this page and select older revision number.

HTML
<!--
Generate new entry:
1:add new row below first
2:Copy Page Information Macro(date+user) Preview, Page Information Macro Preview, 

...

Quartus Version(or update)to the empty row
3.Update Metadate =Page Information Macro Preview+1
  -->


DateRevision

...

Quartus VersionAuthorsDescription

Page info
modified-date
modified-date
dateFormatyyyy-MM-dd

Page info

...

current-version
current-version
prefixv.

22.1 Lite

22.4 Pro

Page info
modified-user
modified-user

  • update to Quartus Version 22.1 Lite and 22.4 Pro
2022-06-27v.14

21.1 Lite

21.4 Pro

Thomas Dück
  • update to Quartus Version 21.1 Lite and 21.4 Pro
2021-06-17v.11

20.1 Lite

20.4 Pro

Thomas Dück
  • update to Quartus Version 20.1.1 lite and 20.4 pro

2020-05-13

v.9

19.1 Lite

19.4 Pro

Thomas Dück
  • update to Quartus Version 19.1 lite and 19.4 pro
  • changed to tcl/tk
2019-11-11


v.5


18.1Thomas Dück


  • add description for *.sh files (Linux OS)
2019-10-29v.418.1Thomas Dück
  • initial

...

Last Vivado 2018.2 supported project delivery version

  • no document update was done

...

...

v.134

...

John Hartfiel

...

v.83

...

John Hartfiel

...

v.1

...

Antti Lukats

...

  • release

All

Page info
modified-users
modified-users







Scroll Only


HTML
<style>
.wiki-content .columnLayout .cell.aside {
width: 0%;
}</style>



Scroll pdf ignore


Custom_fix_page_content

Table of contents

Table of Contents
outlinetrue