<!--
Template Revision 1.68
(HTML comments will be not displayed in the document, no need to remove them. For Template/Skeleton changes, increase Template Revision number. So we can check faster, if the TRM style is up to date).
-->
<!--
General Notes:
If some section is CPLD firmware dependent, make a note and if available link to the CPLD firmware description. It's in the TE shop download area in the corresponding module -> revision -> firmware folder.
-->
<!--
General Notes:
Designate all graphics and pictures with a number and a description. For example "Figure 1: TE07xx-xx Block Diagram" or "Table 1: Initial delivery state". "Figure x" and "Table x" have to be formatted to bold.  
-->
<!--
Link to the base folder of the module (remove de/ or en/ from the URL): for example:
https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic/Modules_and_Module_Carriers/4x5/4x5_Carriers/TE0703/
  -->

Download PDF version of this document.

Table of Contents

Overview

The Trenz Electronic TEF1001 FPGA board is a PCI Express form factor card (PCIe 2.0 or higher) integrating the Xilinx Kintex-7 XC7K160T, XC7K325T or XC7K410T FPGA SoC. The FPGA-board is designed for high system resources and intended for use in applications with high demands on system performance and throughput. To extent the board with standard DDR3 SDRAM memory module, there is a 204-pin SODIMM socket with 64bit databus width on the board present.

The board offers a HPC (High Pin Count) ANSI/VITA 57.1 compatible FMC interface connector for standard FPGA Mezzanine cards and modules. Other interface connectors found on-board include JTAG for accessing FPGA and on-board System Controller CPLD, and also connector with 5 high-speed I/O differential signaling pairs.

The TEF1001 FPGA board is intended to be used as add-on card in a PCIe 2.0 or higher capable host systems, it can not be used as a stand-alone device.

<!--
Use short link the Wiki resource page: for example:
http://trenz.org/tef1001-info
List of available short links: https://wiki.trenz-electronic.de/display/CON/Redirects
  -->
Refer to http://trenz.org/tef1001-info for the current online version of this manual and other available documentation.

Key Features


Additional assembly options are available for cost or performance optimization upon request.


Block Diagram

<!--
Rules for all diagrams:
1. All diagrams are wrapped in the "Scroll Title" macro.
	- The title has to be named with the diagrams name
	- The anchor has the designation figure_x, whereby x is the number of the diagram

2. The Draw.IO diagram has to be inserted in the "Scroll Ignore" macro
	- Border has to be switched off in the macro edit
	- Toolbar has to be hidden in the macro edit

3. A PNG Export of the diagram has to be inserted in the "Scroll Only" macro, see Wiki page "Diagram Drawing Guidelines" how to do this step.

The workaround with the additional PNG of the diagram is necessary until the bug of the Scroll PDF Exporter, which cuts diagram to two pages, is fixed.


IMPORTANT NOTE: In case of copy and paste the TRM skeleton to a new Wiki page, delete the Draw.IO diagrams and the PNGs, otherwise due to the linkage of the copied diagrams every change in the TRM Skeleton will effect also in the created TRM and vice versa!

See page "Diagram Drawing Guidelines" how to clone an existing diagram as suitable template for the new diagram!

   -->

Main Components


  1. Xilinx Kintex XC7K-2FBG676I FPGA SoC, U6
  2. ANSI/VITA 57.1 compliant FMC HPC connector, J2
  3. Cooling fan 5VDC M1 (45X5MM, 0.7W, 1.06CFM), M1
  4. PCIe x8 connector, J1
  5. SO-DIMM socket, U2
  6. 6-pin 12V power connector, J5
  7. Step-down DC-DC converter @1.5V and @4V (LT LTM4676A), U3
  8. Step-down DC-DC converter @1.0V (LT LTM4676A), U4
  9. 256 Mbit Quad SPI Flash Memory (Micron N25Q256A), U12
  10. 10x Green user LEDs connected to FPGA, D1 ... D10
  11. 4-wire PWM fan connector, J4
  12. User button, S2
  13. FPGA JTAG connector, J9
  14. 4bit DIP switch, S1
  15. I²C header for LTM4676A DC-DC converter, J10
  16. System Controller CPLD JTAG header, J8
  17. 1x Green LED connected to SC CPLD, D11
  18. 2-pin 5V FAN header, J6
  19. System Controller CPLD (Lattice Semiconductor LCMXO2-1200HC), U5
  20. 6A PowerSoC DC-DC converter @FMC_VADJ (Altera EN5365QI), U7
  21. 4A PowerSoC DC-DC converter @3.3V (3V3FMC) (Altera EN6347QI), U15
  22. LDO converter @1.2V (MGTAVTT_FPGA) (TI TPS74401RGW), U17
  23. LDO converter @1.0V (MGTAVCC_FPGA) (TI TPS74401RGW), U18
  24. 4A PowerSoC DC-DC converter @1.8V (Altera EN6347QI), U7

Initial Delivery State

Storage device nameContentNotes
Si5338A OTP Areanot programmed-

SPI Flash OTP Area

Empty, not programmed

Except serial number programmed by flash vendor

SPI Flash Quad Enable bit

Programmed

-

SPI Flash main array

demo design

-
HyperFlash Memorynot programmed-

eFUSE USER

Not programmed

-

eFUSE Security

Not programmed

-

Table 1: Initial delivery state of programmable devices on the module

Boot Process

By default the configuration mode pins M[2:0] of the FPGA are set to QSPI mode (Master SPI), hence the FPGA is configured from serial NOR flash at system start-up. The JTAG interface of the module is provided for storing the initial FPGA configuration data to the QSPI flash memory.

Signals, Interfaces and Pins

<!--
Connections and Interfaces or B2B Pin's which are accessible by User
  -->

FMC HPC Connector I/Os

I/O signals connected to the SoCs I/O bank and B2B connector:

FPGA BankTypeI/O Signal CountBank VCCO VoltageNotes
64HR48 IO's, 24 LVDS pairsB64_VCCOSupplied by the carrier board
65HR8 IO's3.3VOn-module power supply
65HR4 IO's3.3VOn-module power supply
66HP16 IO's, 8 LVDS pairsB66_VCCOSupplied by the carrier board
67HP48 IO's, 24 LVDS pairsB67_VCCOSupplied by the carrier board
67HP2 IO'sB67_VCCOSupplied by the carrier board
68HP18 IO's, 9 LVDS pairsB68_VCCOSupplied by the carrier board

Table 2: General overview of FPGA's PL I/O signals connected to the FMC connector

For detailed information about the pin out, please refer to the Pin-out Tables.

<!--
TO-DO (future):
If Vivado board part files are available for this module, the standard configuration of the MIO pins by using this board part files should be mentioned here. This standard configuration of those pins are also apparent of the on-board peripherals of base-boards related to the module.
  -->

PCI Express Interface

The TEF1001 FPGA board is a PCI Express card designed to fit into systems with PCI Express x8 slots (PCIe 2.0 or higher) and is PCIe Gen. 2 capable. See next section for the overview of FPGA MGT lanes routed to the PCIe interface.

MGT Lanes

<!--
MGT lanes should be listed separately, as they are more specific than just general I/Os.  
  -->

MGT (Multi Gigabit Transceiver) lane consists of one transmit and one receive (TX/RX) differential pairs, two signals each or four signals total per one MGT lane. The MGT lanes are connected to the FMC connector and to the PCIe x8 connector. Following table lists lane number, MGT bank number, transceiver type, signal schematic name, connector and FPGA pins connection:

LaneBankTypeSignal NameConnector PinFPGA Pin
0115GTX
  • MGT_RX0_P
  • MGT_RX0_N
  • MGT_TX0_P
  • MGT_TX0_N
  • JM3-8
  • JM3-10
  • JM3-7
  • JM3-9
  • MGTHRXP0_225, Y2
  • MGTHRXN0_225, Y1
  • MGTHTXP0_225, AA4
  • MGTHTXN0_225, AA3
1115GTX
  • MGT_RX1_P
  • MGT_RX1_N
  • MGT_TX1_P
  • MGT_TX1_N
  • JM3-14
  • JM3-16
  • JM3-13
  • JM3-15
  • MGTHRXP1_225, V2
  • MGTHRXN1_225, V1
  • MGTHTXP1_225, W4
  • MGTHTXN1_225, W3
2115GTX
  • MGT_RX2_P
  • MGT_RX2_N
  • MGT_TX2_P
  • MGT_TX2_N
  • JM3-20
  • JM3-22
  • JM3-19
  • JM3-21
  • MGTHRXP2_225, T2
  • MGTHRXN2_225, T1
  • MGTHTXP2_225, U4
  • MGTHTXN2_225, U3
3115GTX
  • MGT_RX3_P
  • MGT_RX3_N
  • MGT_TX3_P
  • MGT_TX3_N
  • JM3-26
  • JM3-28
  • JM3-25
  • JM3-27
  • MGTHRXP3_225, P2
  • MGTHRXN3_225, P1
  • MGTHTXP3_225, R4
  • MGTHTXN3_225, R3
4116GTX
  • MGT_RX4_P
  • MGT_RX4_N
  • MGT_TX4_P
  • MGT_TX4_N
  • JM1-12
  • JM1-10
  • JM1-6
  • JM1-4
  • MGTHRXP0_224, AH2
  • MGTHRXN0_224, AH1
  • MGTHTXP0_224, AG4
  • MGTHTXN0_224, AG3
5116GTX
  • MGT_RX5_P
  • MGT_RX5_N
  • MGT_TX5_P
  • MGT_TX5_N
  • JM1-24
  • JM1-22
  • JM1-18
  • JM1-16
  • MGTHRXP1_224, AF2
  • MGTHRXN1_224, AF1
  • MGTHTXP1_224, AF6
  • MGTHTXN1_224, AF5
6116GTX
  • MGT_RX6_P
  • MGT_RX6_N
  • MGT_TX6_P
  • MGT_TX6_N
  • JM1-27
  • JM1-25
  • JM1-19
  • JM1-17
  • MGTHRXP2_224, AD2
  • MGTHRXN2_224, AD1
  • MGTHTXP2_224, AE4
  • MGTHTXN2_224, AE3
7116GTX
  • MGT_RX7_P
  • MGT_RX7_N
  • MGT_TX7_P
  • MGT_TX7_N
  • JM3-2
  • JM3-4
  • JM3-1
  • JM3-3
  • MGTHRXP3_224, AB2
  • MGTHRXN3_224, AB1
  • MGTHTXP3_224, AC4
  • MGTHTXN3_224, AC3

Table 3: FPGA to B2B connectors routed MGT lanes overview

LaneFPGA BankTypeSignal NameFPGA PinFMC Pin
0117GTH
  • DP0_M2C_P
  • DP0_M2C_N
  • DP0_C2M_P
  • DP0_C2M_N
  • MGTHRXP0_117, N4
  • MGTHRXN0_117, N3
  • MGTHTXP0_117, M2
  • MGTHTXN0_117, M1
  • J2A-C6
  • J2A-C7
  • J2A-C2
  • J2A-C3
1117GTH
  • DP1_M2C_P
  • DP1_M2C_N
  • DP1_C2M_P
  • DP1_C2M_N
  • MGTHRXP1_117, L4
  • MGTHRXN1_117, L3
  • MGTHTXP1_117, K2
  • MGTHTXN1_117, K1
  • J2A-A2
  • J2A-A3
  • J2A-A22
  • J2A-A23
2117GTH
  • DP2_M2C_P
  • DP2_M2C_N
  • DP2_C2M_P
  • DP2_C2M_N
  • MGTHRXP2_117, K6
  • MGTHRXN2_117, K5
  • MGTHTXP2_117, H2
  • MGTHTXN2_117, H1
  • J2A-A6
  • J2A-A7
  • J2A-A26
  • J2A-A27
3117GTH
  • DP3_M2C_P
  • DP3_M2C_N
  • DP3_C2M_P
  • DP3_C2M_N
  • MGTHRXP3_117, J4
  • MGTHRXN3_117, J3
  • MGTHTXP3_117, F2
  • MGTHTXN3_117, F1
  • J2A-A10
  • J2A-A11
  • J2A-A30
  • J2A-A31
4118GTH
  • DP4_M2C_P
  • DP4_M2C_N
  • DP4_C2M_P
  • DP4_C2M_N
  • MGTHRXP0_118, G4
  • MGTHRXN0_118, G3
  • MGTHTXP0_118, D2
  • MGTHTXN0_118, D1
  • J2A-A14
  • J2A-A15
  • J2A-A34
  • J2A-A35
LaneFPGA BankTypeSignal NameFPGA PinFMC Pin
5118GTH
  • DP5_M2C_P
  • DP5_M2C_N
  • DP5_C2M_P
  • DP5_C2M_N
  • MGTHRXP1_118, E4
  • MGTHRXN1_118, E3
  • MGTHTXP1_118, C4
  • MGTHTXN1_118, C3
  • J2A-A18
  • J2A-A19
  • J2A-A38
  • J2A-A39
6118GTH
  • DP6_M2C_P
  • DP6_M2C_N
  • DP6_C2M_P
  • DP6_C2M_N
  • MGTHRXP2_118, D6
  • MGTHRXN2_118, D5
  • MGTHTXP2_118, B2
  • MGTHTXN2_118, B1
  • J2A-B16
  • J2A-B17
  • J2A-B36
  • J2A-B37
7118GTH
  • DP7_M2C_P
  • DP7_M2C_N
  • DP7_C2M_P
  • DP7_C2M_N
  • MGTHRXP3_118, B6
  • MGTHRXN3_118, B5
  • MGTHTXP3_118, A4
  • MGTHTXN3_118, A3
  • J2A-B12
  • J2A-B13
  • J2A-B32
  • J2A-B33
8116GTH
  • DP8_M2C_P
  • DP8_M2C_N
  • DP8_C2M_P
  • DP8_C2M_N
  • MGTHRXP2_116, U4
  • MGTHRXN2_116, U3
  • MGTHTXP2_116, T2
  • MGTHTXN2_116, T1
  • J2A-B8
  • J2A-B9
  • J2A-B28
  • J2A-B29
9116GTH
  • DP9_M2C_P
  • DP9_M2C_N
  • DP9_C2M_P
  • DP9_C2M_N
  • MGTHRXP3_116, R4
  • MGTHRXN3_116, R3
  • MGTHTXP3_116, P2
  • MGTHTXN3_116, P1
  • J2A-B4
  • J2A-B5
  • J2A-B24
  • J2A-B25
LaneFPGA BankTypeSignal NameFPGA PinPCIe Pin
0115GTH
  • PER0_P
  • PER0_N
  • PET0_P
  • PET0_N
  • MGTHRXP3_115, AB2
  • MGTHRXN3_115, AB1
  • MGTHTXP3_115, AC4
  • MGTHTXN3_115, AC3
  • J1-A16
  • J1-A17
  • J1-B14
  • J1-B15
1115GTH
  • PER1_P
  • PER1_N
  • PET1_P
  • PET1_N
  • MGTHRXP2_115, AD2
  • MGTHRXN2_115, AD1
  • MGTHTXP2_115, AE4
  • MGTHTXN2_115, AE3
  • J1-A21
  • J1-A22
  • J1-B19
  • J1-B20
2115GTH
  • PER2_P
  • PER2_N
  • PET2_P
  • PET2_N
  • MGTHRXP1_115, AF2
  • MGTHRXN1_115, AF1
  • MGTHTXP1_115, AF6
  • MGTHTXN1_115, AF5
  • J1-A25
  • J1-A26
  • J1-B23
  • J1-B24
3115GTH
  • PER3_P
  • PER3_N
  • PET3_P
  • PET3_N
  • MGTHRXP0_115, AH2
  • MGTHRXN0_115, AH1
  • MGTHTXP0_115, AG4
  • MGTHTXN0_115, AG3
  • J1-A29
  • J1-A30
  • J1-B27
  • J1-B28
4114GTH
  • PER4_P
  • PER4_N
  • PET4_P
  • PET4_N
  • MGTHRXP3_114, AK2
  • MGTHRXN3_114, AK1
  • MGTHTXP3_114, AJ4
  • MGTHTXN3_114, AJ3
  • J1-A35
  • J1-A36
  • J1-B33
  • J1-B34
5114GTH
  • PER5_P
  • PER5_N
  • PET5_P
  • PET5_N
  • MGTHRXP2_114, AM2
  • MGTHRXN2_114, AM1
  • MGTHTXP2_114, AL4
  • MGTHTXN2_114, AL3
  • J1-A39
  • J1-A40
  • J1-B37
  • J1-B38
6114GTH
  • PER6_P
  • PER6_N
  • PET6_P
  • PET6_N
  • MGTHRXP1_114, AN4
  • MGTHRXN1_114, AN3
  • MGTHTXP1_114, AM6
  • MGTHTXN1_114, AM5
  • J1-A43
  • J1-A44
  • J1-B41
  • J1-B42
7114GTH
  • PER7_P
  • PER7_N
  • PET7_P
  • PET7_N
  • MGTHRXP0_114, AP2
  • MGTHRXN0_114, AP1
  • MGTHTXP0_114, AP6
  • MGTHTXN0_114, AP5
  • J1-A47
  • J1-A48
  • J1-B45
  • J1-B46



Below are listed MGT banks reference clock sources:

Clock signalBankSourceFPGA PinNotes
MGT_CLK0_P115B2B, JM3-33MGTREFCLK0P_225, Y6Supplied by FMC connector
MGT_CLK0_NB2B, JM3-31MGTREFCLK0N_225, Y5
MGT_CLK1_P115U2, CLK1BMGTREFCLK1P_225, V6On-board Si5338A
MGT_CLK1_NU2, CLK1AMGTREFCLK1N_225, V5
MGT_CLK2_P116B2B, JM3-34MGTREFCLK2P_224, AD6Supplied by FMC connector
MGT_CLK2_NB2B, JM3-32MGTREFCLK2N_224, AD5
MGT_CLK3_P116U2, CLK2BMGTREFCLK3P_224, AB6On-board Si5338A
MGT_CLK3_NU2, CLK2BMGTREFCLK3N_224, AB5

Table 4: MGT reference clock sources

Clock SignalMGT BankSourceFPGA PinNotes
MGTCLK_5338_P115U13, CLK1AMGTREFCLK0P_115, AB6On-board Si5338A.
MGTCLK_5338_N115U13, CLK1BMGTREFCLK0N_115, AB5On-board Si5338A.
PCIE_CLK_P115J1-A13, REFCLK+MGTREFCLK1P_115, AD6External clock from PCIe slot.
PCIE_CLK_N115J1-A14, REFCLK-MGTREFCLK1N_115, AD6External clock from PCIe slot.
CLK_SYNTH_DCLKOUT4_P116U9, DCLKout4MGTREFCLK0P_116, T6On-board LMK04828B.
CLK_SYNTH_DCLKOUT4_N116U9, DCLKout4*MGTREFCLK0N_116, T6On-board LMK04828B.
GBTCLK0_M2C_P117J2-D4MGTREFCLK0P_117, M6External clock from FMC connector.
GBTCLK0_M2C_N

117

J2-D5MGTREFCLK0N_117, M5External clock from FMC connector.
GBTCLK1_M2C_P117J2-B20MGTREFCLK1P_117, P6External clock from FMC connector.
GBTCLK1_M2C_N117J2-B21MGTREFCLK1N_117, P5External clock from FMC connector.
CLK_SYNTH_SDCLKOUT7_P118U9, DCLKout7MGTREFCLK0P_118,F6On-board LMK04828B.
CLK_SYNTH_SDCLKOUT7_N118U9, DCLKout7*MGTREFCLK0N_118,F5On-board LMK04828B.
MGTCLK2_5338_P118U13, CLK3AMGTREFCLK1P_118, H6On-board Si5338A.
MGTCLK2_5338_N118U13, CLK3BMGTREFCLK1N_118, H5On-board Si5338A.

JTAG Interface

There are three JTAG interfaces available on the TEF1001 board:

JTAG InterfaceSignal Schematic NameJTAG Connector PinConnected to

CPLD JTAG

VCCIO: 3.3V

Connector: J8

CPLD_JTAG_TMSJ8-1SC CPLD, bank 0, pin 90
CPLD_JTAG_TDIJ8-2SC CPLD, bank 0, pin 94
CPLD_JTAG_TDOJ8-3SC CPLD, bank 0, pin 95
CPLD_JTAG_TCK

J8-4

SC CPLD, bank 0, pin 91




FPGA JTAG

VCCIO: 1.8V

Connector: J9

FPGA_JTAG_TMSJ9-4FPGA, bank 0, pin N9
FPGA_JTAG_TCKJ9-6FPGA, bank 0, pin M8
FPGA_JTAG_TDOJ9-8FPGA, bank 0, pin N8
FPGA_JTAG_TDIJ9-10FPGA, bank 0, pin L8




FMC JTAG

VCCIO: 3.3V

Connector: J2

FMC_TRSTJ2-D34SC CPLD, bank 2, pin 36
FMC_TRSTJ2-D29SC CPLD, bank 2, pin 27
FMC_TCKJ2-D33SC CPLD, bank 2, pin 28
FMC_TMSJ2-D30SC CPLD, bank 2, pin 31
FMC_TDOJ2-D31SC CPLD, bank 2, pin 32

Table 5: JTAG interface signals

System Controller CPLD I/O Pins

Special purpose pins are connected to the System Controller CPLD and have following default configuration:

Pin NameSC CPLD DirectionFunctionDefault Configuration
JTAGMODEInputJTAG selectLow for normal operation.
nRST_SC0InputResetLow active board reset input
SC1--not currently used ('BOOTMODE' in default B2B pin out')
SC2Input / Output-Power good signal ('PGOOD' in default B2B pin out)
SC3Input-Power enable pin ('EN1' in default B2B pin out)
SC4--not currently used ('NOSEQ' in default B2B pin out')
F_TCKOutput

JTAG signals between
SC CPLD and FPGA

B2B JTAG signals are forwarded to the FPGA through SC CPLD.
F_TMSOutput
F_TDIOutput
F_TDOInput
TCKInputJTAG signals between
SC CPLD and B2B connector

Program FPGA or SC CPLD depending on pin JTAGMODE.

TMSInput
TDIInput
TDOOutput
PROG_BOutputFPGA configurationPL configuration reset signal.
DONEInputFPGA configuration donePL configuration completed.
PUDC_BOutputPull up during configuration

PL I/O's are 3-stated until configuration of the FPGA completes.

INIT_BInputInitialization done

Low active FPGA initialization pin or configuration error signal.

EN_PLInputEnable PL Power DC-DC convertersSet to contant logical high.
CPLD_IOOutputuser I/OConnected to FPGA Bank 45, pin P28.

Table 6: System Controller CPLD I/O pins

For detailed function of the pins and signals, the internal signal assignment and the implemented logic, look to the Wiki reference page of the module's SC CPLD or into its bitstream file.

<!--
For the detailed function of the pins and signals, the internal signal assignment and implemented logic, look to the Wiki reference page SC CPLD of this module or into the bitstream file of the SC CPLD.
Add link to the Wiki reference page of the SC CPLD, if available.
   -->

Quad SPI Interface

Quad SPI interface is connected to the FPGA configuration bank 0.

Signal NameQSPI Flash Memory U6 PinFPGA Pin
SPI_CSC2RDWR_FCS_B_0, AH7
SPI_D0D3D00_MOSI_0, AA7
SPI_D1D2D01_DIN_0, Y7
SPI_D2C4D02_0, U7
SPI_D3D4D03_0, V7
SPI_CLKB2CCLK_0, V11

Table 7: Quad SPI interface signals and connections

I2C Interface

On-module I²C interface is routed  from PL bank 65 I/O pins (PLL_SCL and PLL_SDA) to the I²C interface of Si5338 PLL quad clock generator U2, also two further pins of bank 65 can be used as external I²C interface of the modue:

I²C InterfaceSchematic net namesConnected toI²C AddressNotes
PL bank 65 I/O

'PLL_SCL', pin AB20

'PLL_SDA' pin AB19

Si5338 U2, pin 12

Si5338 U2, pin 19

0x70default address
PL bank 65 I/O

'B65_SCL', pin Y19

'B65_SDA', pin AA19

B2B JM1, pin 95

B2B JM1, pin 93

-

Table 8: I2C slave device addresses

On-board Peripherals

<!--
Components on the Module, like Flash, PLL, PHY...
  -->

System Controller CPLD

The System Controller CPLD (U2) is provided by Lattice Semiconductor LCMXO2-256HC (MachXO2 Product Family). The  SC-CPLD is the central system management unit where essential control signals are logically linked by the implemented logic in CPLD firmware, which generates output signals to control the system, the on-board peripherals and the interfaces. Interfaces like JTAG and I2C between the on-board peripherals and to the FPGA module are by-passed, forwarded and controlled by the System Controller CPLD.

Other tasks of the System Controller CPLD are the monitoring of the power-on sequence and to display the programming state of the FPGA module.

For detailed information, refer to the reference page of the SC CPLD firmware of this module.

<!--
Put in link to the Wiki reference page of the firmware of the SC CPLD.
  -->

DDR3 SD-RAM SODIMM Socket

By default TE0841 module has two K4A8G165WB-BIRC DDR4 SDRAM chips arranged into 32-bit wide memory bus providing total of 2 GBytes of on-module RAM. Different memory sizes are available optionally.

Quad SPI Flash Memory

On-module QSPI flash memory (U6) on the TE0841-01 is provided by Micron Serial NOR Flash Memory N25Q512A11G1240E with 512-Mbit (64 MByte) storage capacity. This non volatile memory is used to store initial FPGA configuration. Besides FPGA configuration, remaining free flash memory can be used for user application and data storage. All four SPI data lines are connected to the FPGA allowing x1, x2 or x4 data bus widths. Maximum data rate depends on the selected bus width and clock frequency used.

SPI Flash QE (Quad Enable) bit must be set to high or FPGA is unable to load its configuration from flash during power-on. By default this bit is set to high at the manufacturing plant.

Programmable Clock Generator

There is a Silicon Labs I2C programmable quad PLL clock generator on-board (Si5338A, U2) to generate various reference clocks for the module.

Si5338A Pin
Signal Name / Description
Connected toDirectionNote

IN1

-

not connectedInput

not used

IN2-GNDInputnot used

IN3

Reference input clock

U3, pin 3Input25.000000 MHz oscillator, Si8208AI

IN4

-GNDInputI2C slave device address LSB.

IN5

-

not connectedInputnot used
IN6-GNDInputnot used

CLK0A

CLK1_P

U1, R23Output

FPGA bank 45, default 100MHz*

CLK0BCLK1_NU1, P23
CLK1AMGT_CLK1_NU1, V5Output

FPGA MGT bank 225 reference clock, default 125MHz*

CLK1BMGT_CLK1_PU1, V6
CLK2AMGT_CLK3_NU1, AB5OutputFPGA MGT bank 224 reference clock, default 156,25MHz*
CLK2BMGT_CLK3_PU1, AB6
CLK3A

CLK0_P

U1, pin T24Output

FPGA bank 45, default 156,25MHz*

CLK3BCLK0_NU1, pin T25

 Table 9: Programmable quad PLL clock generator inputs and outputs, *PCB REV01 is not programmed

Oscillators

The FPGA module has following reference clocking signals provided by external baseboard sources and on-board oscillators:

Clock SourceFrequencySignal NameClock DestinationNotes
U3, SiT8208AI25.000000 MHzCLKSi5338A PLL U2, pin 3 (IN3)-
U11, DSC1123DL5200.0000 MHzCLK200M_PFPGA bank 45, pin R25

Enable by FPGA bank 65, pin AF24

Signal: 'ENOSC'

CLK200M_NFPGA bank 45, pin R26

Table 10: Reference clock signals

On-board LEDs

LEDColorConnected toDescription and Notes
D1GreenSystem Controller CPLD, bank 3Exact function is defined by SC CPLD firmware.

Table 11: On-board LEDs

Power and Power-On Sequence

<!--
If power sequencing and distribution is not so much, you can join both sub sections together
  -->

Power Consumption

The maximum power consumption of a module mainly depends on the design running on the FPGA.

Xilinx provide a power estimator excel sheets to calculate power consumption. It's also possible to evaluate the power consumption of the developed design with Vivado. See also Trenz Electronic Wiki FAQ.

Power InputTypical Current
VINTBD*
3.3VINTBD*

Table 12: Typical power consumption


 * TBD - To Be Determined soon with reference design setup.

Single 3.3V power supply with minimum current capability of 4A for system startup is recommended.

For the lowest power consumption and highest efficiency of the on-board DC-DC regulators it is recommended to power the module from one single 3.3V supply. All input power supplies should have a nominal value of 3.3V. Although the input power supplies can be powered up in any order, it is recommended to power them up simultaneously.

To avoid any damage to the module, check for stabilized on-board voltages should be carried out (i.e. power good and enable signals) before powering up any FPGA's I/O bank voltages VCCO_x. All I/Os should be tri-stated during power-on sequence.

Power Distribution Dependencies




See also Xilinx datasheet DS892 for additional information. User should also check related base board documentation when intending base board design for TE0841 module.

Power-On Sequence

The TE0841 SoM meets the recommended criteria to power up the Xilinx FPGA properly by keeping a specific sequence of enabling the on-board DC-DC converters dedicated to the particular functional units of the FPGA chip and powering up the on-board voltages.

Following diagram clarifies the sequence of enabling the particular on-board voltages, which will power-up in descending order as listed in the blocks of the diagram:



Power Rails

Power Rail Name

B2B JM1 Pins

B2B JM2 Pins

Input/Output

Notes
VIN1, 3, 52, 4, 6, 8InputSupply voltage.
3.3VIN13, 15-InputSupply voltage.
B64_VCO9, 11-InputHR (High Range) bank voltage.
B66_VCO-1, 3InputHP (High Performance) bank voltage.
B67_VCO-7, 9InputHP (High Performance) bank voltage.
B68_VCO-5InputHP (High Performance) bank voltage.

VBAT_IN

79-InputRTC battery supply voltage.
3.3V-10, 12, 91OutputModule on-board 3.3V voltage level.

Table 13: Module power rails

Current rating of  Samtec Razor Beam™ LSHM B2B connectors is 2.0A per pin (2 adjacent pins powered).

Bank Voltages

Bank

Schematic Name

Voltage

Voltage Range

0 (config)

PL_1.8V

1.8V

-
44 HPDDR_1V21.2VHP: 1.2V to 1.8V
45 HPPL_1.8V1.8VHP: 1.2V to 1.8V
46 HPDDR_1V21.2VHP: 1.2V to 1.8V
64 HRB64_VCOuserHR: 1.2V to 3.3V
65 HR3.3V3.3VHR: 1.2V to 3.3V
66 HPB66_VCOuserHP: 1.2V to 1.8V
67 HPB67_VCOuserHP: 1.2V to 1.8V
68 HPB68_VCOuserHP: 1.2V to 1.8V

Table 14: Module PL I/O bank voltages

Variants Currently In Production

<!--
Set correct link to the overview table of the product on english and german, if not available, set 
https://shop.trenz-electronic.de/de/Produkte/Trenz-Electronic/
https://shop.trenz-electronic.de/en/Products/Trenz-Electronic/
  -->

See also the current available variants on the Trenz Electronic shop page

Trenz shop TE0841 overview page
English pageGerman page

Technical Specifications

Absolute Maximum Ratings

Parameter

MinMax

Units

Reference Document

VIN supply voltage

-0.36.0

V

EN63A0QI, TPS74401RGW datasheets
3.3VIN supply voltage-0.13.4VXilinx datasheet DS892 (HR Bank VCCO)
VBAT_IN-0.36.0VTPS780xx datasheet
Supply voltage for HR I/O banks (VCCO)

-0.500

3.400

VXilinx datasheet DS892

Supply voltage for HP I/O banks (VCCO)

-0.500

2.000VXilinx datasheet DS892
I/O input voltage for HR I/O banks

-0.400

VCCO + 0.550

VXilinx datasheet DS892

I/O input voltage for HP I/O banks

-0.550

VCCO + 0.550

VXilinx datasheet DS892
I/O input voltage for SC CPLD U18-0.53.75VLCMXO2-256HC datasheet
GTH and GTY transceiver reference clocks absolute input voltage (MGT_CLK0, MGT_CLK2)-0.5001.320VXilinx datasheet DS892

GTH and GTY transceiver receiver (RXP/RXN) and transmitter (TXP/TXN) absolute input voltage

-0.500

1.260

VXilinx datasheet DS892

Storage temperature

-40

+100

°C

SML-P11 LED datasheet

Table 16: Module absolute maximum ratings

Assembly variants for higher storage temperature range are available on request.

Recommended Operating Conditions

ParameterMinMaxUnitsReference Document
VIN supply voltage3.35.5VTPS82085SIL, TPS74401RGW datasheet
3.3VIN supply voltage3.33.4VXilinx datasheet DS892 (HR Bank VCCO)
VBAT_IN2.25.5VTPS780xx datasheet
Supply voltage for HR I/O banks (VCCO)1.140

3.400

VXilinx datasheet DS892

Supply voltage for HP I/O banks (VCCO)

0.950

1.890

VXilinx datasheet DS892

I/O input voltage for HR I/O banks

–0.200

VCCO + 0.20VXilinx datasheet DS892
I/O input voltage for HP I/O banks–0.200VCCO + 0.20VXilinx datasheet DS892
I/O input voltage for SC CPLD U18-0.33.6VLCMXO2-256HC datasheet

Industrial Module Operating Temperature Range

-4085°CXilinx datasheet DS892
Commercial Module Operating Temperature Range085°CXilinx DS892, Silicon Labs Si5338 datasheet

Table 17: Module recommended operating conditions


Module operating temperature range depends also on customer design and cooling solution. Please contact us for options.

Please check also Xilinx datasheet DS892 for complete list of absolute maximum and recommended operating ratings.

Physical Dimensions

All dimensions are given in millimeters.


Revision History

Hardware Revision History

DateRevision

Notes

PCNDocumentation Link
2018-05-1102current available board revisionPCN-20180511TE0841-02
2015-12-09

01

First production release

-TE0841-01

Table 18: Module hardware revision history


Hardware revision number can be found on the PCB board together with the module model number separated by the dash.


Document Change History

<!--
Generate new entry:
1.add new row below first
2.Copy "Page Information Macro(date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number
3.Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description.
  -->

Date

Revision

Contributors

Description

  • Initial document.

Table 18: Document change history

Disclaimer