Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Template Revision 2.8 - on construction

Design Name is always "TE Series Name" + Design name, for example "TE0720 Test Board"

...

HTML
<!-- tables have all same width (web max 1200px and pdf full page(640px), flexible width or fix width on menu for single column can be used as before) -->
<style>
.wrapped{
  width: 100% !important;
  max-width: 1200px !important;
 }
</style>

...

hiddentrue
idComments

Important General Note:

...

Export PDF to download, if vivado revision is changed!

Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

...

Figure template (note: inner scroll ignore/only only with drawIO object):


Date

Version

Changes

Author

2021-04-283.1.5
  • added macro "Scroll ignore" for suppression of horizontal dividing lines during .pdf-export
  • minor typos, formatting
ma
2021-04-27

3.1.4

  • Version History

    • changed from list to table

  • Design flow

    • removed step 5 from Design flow

    • changed link from TE Board Part Files to Vivado Board Part Flow

    • changed cmd shell from picture to codeblock

    • added hidden template for "Copy PetaLinux build image files", depending from hardware

    • added hidden template for "Power on PCB", depending from hardware

  • Usage update of boot process

  • Requirements - Hardware

    • added "*used as reference" for hardware requirements

  • all

    • placed a horizontal separation line under each chapter heading

    • changed title-alignment for tables from left to center

  • all tables

    • added "<project folder>\board_files" in Vivado design sources

ma


3.1.3

  • Design Flow

    • formatting

  • Launch

    • formatting

ma


3.1.2

  • minor typing corrections

  • replaced SDK by Vitis

  • changed from / to \ for windows paths

  • replaced <design name> by <project folder>

  • added "" for path names

  • added boot.src description

  • added USB for programming

ma


3.1.1

  • swapped order from prebuilt files

  • minor typing corrections

  • removed Win OS path length from Design flow, added as caution in Design flow

ma


3.1

  • Fix problem with pdf export and side scroll bar

  • update 19.2 to 20.2

  • add prebuilt content option



3.0

  • add fix table of content

  • add table size as macro

  • removed page initial creator



Custom_table_size_100

...

anchorFigure_xyz
titleText
Scroll Ignore

Create DrawIO object here: Attention if you copy from other page, use

Scroll Only

image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed

...

Table template:

  • Layout macro can be use for landscape of large tables
  • Set column width manually(can be used for small tables to fit over whole page) or leave empty (automatically)

...

anchorTable_xyz
titleText

...

Scroll pdf ignore

Table of contents

Table of Contents
outlinetrue

...

Page properties
hiddentrue
idComments

...

Notes :

Zynq Design PS with Linux and simple frequency counter to measure MGT Reference CLK with Vivado HW-Manager.

Refer to http://trenz.org/te0715-info for the current online version of this manual and other available documentation.

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design
Excerpt
  • Vitis/Vivado 2019.2
  • PetaLinux
  • SD
  • ETH
  • MAC from EEPROM
  • USB
  • I2C
  • RTC
  • FMeter
  • Modified FSBL (some additional outputs and SI5338 reconfiguration)
  • Special FSBL for QSPI Programming

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download
  • add design changes on description

...

anchorTable_DRH
titleDesign Revision History

...

  • bugfix usb reset
  • changes device tree for eeprom mac
  • new variants

...

  • TE Script update
  • rework of the FSBLs
  • some additional Linux features
  • MAC from EEPROM

...

  • Rework Board Part Files (PS)
  • small design changes
  • SI5338 reconfiguration default activated on FSBL
  • update linux startup app

...

  • new assembly variant

Important General Note:

  • Export PDF to download, if vivado revision is changed!

  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)

      • Figure template (note: inner scroll ignore/only only with drawIO object):

        Scroll Title
        anchorFigure_xyz
        titleText


        Scroll Ignore

        Create DrawIO object here: Attention if you copy from other page, use


        Scroll Only

        image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



      • Table template:

        • Layout macro can be use for landscape of large tables

        • Set column width manually (can be used for small tables to fit over whole page) or leave empty (automatically)


      • Scroll Title
        anchorTable_xyz
        titleText

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        Example

        Comment

        1

        2



  • ...

Overview

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue


Page properties
hiddentrue
idComments

Notes :

Zynq Design PS with Linux and simple frequency counter to measure MGT Reference CLK with Vivado HW-Manager.

Refer to http://trenz.org/te0715-info for the current online version of this manual and other available documentation.

Key Features

Page properties
hiddentrue
idComments

Notes :

  • Add basic key futures, which can be tested with the design


Excerpt
  • Vitis/Vivado 2020.2
  • PetaLinux
  • SD
  • ETH
  • MAC from EEPROM
  • USB
  • I2C
  • RTC
  • FMeter
  • Modified FSBL (some additional outputs and SI5338 reconfiguration)
  • Special FSBL for QSPI Programming

Revision History

Page properties
hiddentrue
idComments

Notes :

  • add every update file on the download
  • add design changes on description


Scroll Title
anchorTable_DRH
title-alignmentcenter
titleDesign Revision History

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

DateVivadoProject BuiltAuthorsDescription
2021-04-272020.2

TE0715-test_board-vivado_2020.2-build_5_20210428094945.zip
TE0715

...

-test_board_noprebuilt-vivado_

...

2020.

...

2-build_

...

5_

...

20210428095209.zip

John Hartfiel

...

  • Board Part Bug fix with UART 1

/
Manuela Strücker

  • update to vivado version 2020.2
  • implemented boot.scr file for distro_boot
2020-06-102019.2TE0715-

...

test_board-vivado_

...

2019.

...

2-build_

...

12_

...

20200610070857.zip

...

TE0715-test_board_noprebuilt-vivado_

...

2019.

...

2-build_

...

12_

...

20200610071014.zipJohn Hartfiel
  • bugfix usb reset

...

  • changes

...

  • device tree for eeprom mac
  • new variants
2019-05-092018.3TE0715-test_board-vivado_

...

2018.

...

3-build_05_

...

20190509094447.zip

...

TE0715-test_board_noprebuilt-vivado_

...

2018.

...

3-build_05_

...

20190509094505.zipJohn Hartfiel

...

  • New Web Link on Board Part Files
  • Add optional FSBL Code to reprogram  SI5338

...

  • TE Script update
  • rework of the FSBLs
  • some additional Linux features
  • MAC from EEPROM
2018-10-012018.2TE0715

...

-test_board-vivado_

...

2018.2-build_

...

03_

...

20181001131411.zip

...

TE0715-test_board_noprebuilt-vivado_

...

2018.2-build_

...

03_

...

20181001131421.zipJohn Hartfiel

...

  • changed Flash typ on TE0715_board_files.csv
    (older one is not supported on Vivado 2017.2)
  • Rework Board Part Files (PS)
  • small design changes
  • SI5338 reconfiguration default activated on FSBL
  • update linux startup app
2018-04-262017.4TE0715

...

-test_board-vivado_2017.

...

4-build_

...

07_

...

20180426171530.zip

...

TE0715-test_board_noprebuilt-vivado_2017.

...

4-build_

...

07_

...

20180426171546.zipJohn Hartfiel

...

  • initial release

Release Notes and Know Issues

Page properties
hiddentrue
idComments
Notes :
  • add known Design issues and general notes for the current revision
  • do not delete known issue, add fixed version time stamp if  issue fixed

...

anchorTable_KI
titleKnown Issues
  • new assembly variant
2018-03-272017.4te0715-test_board-vivado_2017.4-build_07_20180327223552.zip
te0715-test_board_noprebuilt-vivado_2017.4-build_07_20180327223606.zip
John Hartfiel
  • Board Part Bug fix with UART 1
2018-01-052017.4te0715-test_board-vivado_2017.4-build_01_20180105195436.zip
te0715-test_board_noprebuilt-vivado_2017.4-build_01_20180105195452.zip
John Hartfiel
  • No Design changes
  • Add FSBL for Flash Programming
2017-11-102017.2te0715-test_board-vivado_2017.2-build_05_20171110134232.zip
te0715-test_board_noprebuilt-vivado_2017.2-build_05_20171110134247.zip
John Hartfiel
  • New Web Link on Board Part Files
  • Add optional FSBL Code to reprogram  SI5338
2017-10-192017.2te0715-test_board-vivado_2017.2-build_04_20171019141808.zip
te0715-test_board_noprebuilt-vivado_2017.2-build_04_20171019141825.zip
John Hartfiel
  • changed Flash typ on TE0715_board_files.csv
    (older one is not supported on Vivado 2017.2)
2017-09-222017.2te0715-test_board-vivado_2017.2-build_02_20170927143412.zip
te0715-test_board_noprebuilt-vivado_2017.2-build_02_20170927143427.zip
John Hartfiel
  • initial release


Release Notes and Know Issues

Page properties
hiddentrue
idComments
Notes :
  • add known Design issues and general notes for the current revision
  • do not delete known issue, add fixed version time stamp if issue fixed


Scroll Title
anchorTable_KI
title-alignmentcenter
titleKnown Issues

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

IssuesDescriptionWorkaroundTo be fixed version
Timing problems with Frequency countercan be ignored---with 2018-10-01 update


Requirements

Software

...

Requirements

Software

Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design

...

anchorTable_SW
titleSoftware

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

...

Page properties
hiddentrue
idComments

Notes :

  • list of software which was used to generate the design

Basic description of TE Board Part Files is available on TE Board Part Files.

Complete List is available on <design name>/board_files/*_board_files.csv

Design supports following modules:

...


scroll-title
anchorTable_

...

SW
title-alignmentcenter
title

...

Software

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault

...

sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Software

...

VersionNote
Vitis2020.2needed, Vivado is included into Vitis installation
PetaLinux2020.2needed
SI ClockBuilder Pro---optional



Hardware

Page properties
hiddentrue
idComments

Notes :

  • list of hardware which was used to generate the design
  • mark the module and carrier board, which was used tested with an *
Basic description of TE Board Part Files is available on TE Board Part Files.

Complete List is available on "<project folder>\board_files\*_board_files.csv"


Design supports following modules:

Scroll Title
anchorTable_HWM
title-alignmentcenter
titleHardware Modules

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes
TE0715-02-15-1C03_15_1c_1gbREV02|REV011GB32MBNANANA
TE0715-02-15-1I03_15_1i_1gbREV02|REV011GB32MBNANANA
TE0715-02-15-1I103_15_1i_1gbREV02|REV011GB32MBNANANA
TE0715-02-30-1C03_30_1c_1gbREV02|REV011GB32MBNANANA
TE0715-02-30-1I03_30_1i_1gbREV02|REV011GB32MBNANANA
TE0715-03-15-1I03_15_1i_1gbREV031GB32MBNANANA
TE0715-03-15-1I303_15_1i_1gbREV031GB32MBNANANA
TE0715-03-15-2I03_15_2i_1gbREV031GB32MBNANANA
TE0715-03-30-1C03_30_1c_1gbREV031GB32MBNANANA
TE0715-03-30-1I03_30_1i_1gbREV031GB32MBNANANA
TE0715-03-30-1I303_30_1i_1gbREV031GB32MBNANANA
TE0715-03-30-3E03_30_3e_1gbREV03|REV02|REV011GB32MBNANANA
TE0715-04-12S-1C

...

04_12s_1c_1gbREV04

...

1GB

...

32MB

...

NA

...

NALow Power DDR

...

TE0715-04-15-

...

1I04_15_1i_1gbREV04

...

1GB

...

32MB

...

NA

...

Additional Sources

...

anchorTable_ADS
titleAdditional design sources

...

Prebuilt

...

hiddentrue
idComments

Notes :

...

anchorTable_PF
titlePrebuilt files

...

NALow Power DDR

...

Design supports following carriers:

...

anchorTable_HWC
titleHardware Carrier

...

Additional HW Requirements:

...

anchorTable_AHW
titleAdditional Hardware

...

Content

Page properties
hiddentrue
idComments

Notes :

  • content of the zip file

For general structure and of the reference design, see Project Delivery - Xilinx devices

Design Sources

...

anchorTable_DS
titleDesign sources

...

TE0715-04-15-1I304_15_1i_1gbREV041GB32MBNANALow Power DDR 2.5mm connector
TE0715-04-15-1IC04_15_1i_1gbREV041GB32MBNANALow Power DDR. 3M NOVEC coating
TE0715-04-15-2I*04_15_2i_1gbREV041GB32MBNANALow Power DDR
TE0715-04-21C33-A04_12s_1c_1gbREV041GB32MBNANALow Power DDR
TE0715-04-30-1C04_30_1c_1gbREV041GB32MBNANALow Power DDR
TE0715-04-30-1I04_30_1i_1gbREV041GB32MBNANALow Power DDR
TE0715-04-30-1I304_30_1i_1gbREV041GB32MBNANALow Power DDR. 2.5mm connector
TE0715-04-30-1IA04_30_1i_1gbREV041GB32MBNANALow Power DDR. Micron Flash
TE0715-04-30-3E04_30_3e_1gbREV041GB32MBNANALow Power DDR
TE0715-04-51I33-A04_15_1i_1gbREV041GB32MBNANALow Power DDR
TE0715-04-51I33-AN04_15_1i_1gbREV041GB32MBNANALow Power DDR. 3M NOVEC coating
TE0715-04-51I33-L04_15_1i_1gbREV041GB32MBNANALow Power DDR 2.5mm connector
TE0715-04-52I33-A04_15_2i_1gbREV041GB32MBNANALow Power DDR
TE0715-04-71C33-A04_30_1c_1gbREV041GB32MBNANALow Power DDR
TE0715-04-71I33-A04_30_1i_1gbREV041GB32MBNANALow Power DDR
TE0715-04-71I33-L04_30_1i_1gbREV041GB32MBNANALow Power DDR. 2.5mm connector
TE0715-04-73E33-A04_30_3e_1gbREV041GB32MBNANALow Power DDR

*used as reference



Design supports following carriers:

Scroll Title
anchorTable_HWC
title-alignmentcenter
titleHardware Carrier

Scroll Table Layout
orientationportrait
sortDirectionASC

repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Carrier Model

...

Notes

...

TE0701

...


TE0703

...


TE0705
TE0706*

...

Debian SD-Image

...

*.img

...

Debian Image for SD-Card

...

MCS-File

...

*.mcs

...

Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

...

MMI-File

...

*.mmi

...

File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

...


TEBA0841-02

*used as reference

Additional HW Requirements:

Scroll Title
anchorTable_AHW
title-alignmentcenter
titleAdditional Hardware

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Additional HardwareNotes
USB Cable for JTAG/UARTCheck Carrier Board and Programmer for correct type
XMOD ProgrammerCarrier Board dependent, only if carrier has no own FTDI


Content

Page properties
hiddentrue
idComments

Notes :

  • content of the zip file

For general structure and usage of the reference design, see Project Delivery - Xilinx devices

Design Sources

...

SREC-File

...

*.srec

...

Scroll Title
anchorTable_

...

DS
title-alignmentcenter
title

...

Design sources

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault

...

sortByColumn1
sortEnabledfalse
cellHighlightingtrue

...

Type

...

File-Extension

...

Description

...

Download

Reference Design is only usable with the specified Vivado/SDK/PetaLinux/SDx version. Do never use different Versions of Xilinx Software for the same Project.

Page properties
hiddentrue
idComments

Reference Design is available on:

Design Flow

Page properties
hiddentrue
idComments
Notes :
  • Basic Design Steps

  • Add/ Remove project specific description

Note

Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first lunch.

Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

See also:

The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/SDK GUI.  For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

...

  1. (optional for manual changes) Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"
  2. Note: Select correct one, see TE Board Part Files

...

  1. Run on Vivado TCL: TE::hw_build_design -export_prebuilt
    Note: Script generate design and export files into \prebuilt\hardware\<short dir>. Use GUI is the same, except file export to prebuilt folder

...

  1. XSA is exported to "prebuilt\hardware\<short name>"
    Note: HW Export from Vivado GUI create another path as default workspace.
  2. Create Linux images on VM, see PetaLinux KICKstart
    1. Use TE Template from /os/petalinux

...

  1. prebuilt\os\petalinux\<ddr size>" or "prebuilt\os\petalinux\<short name>"
LocationNotes
Vivado

<project folder>\block_design
<project folder>\constraints
<project folder>\ip_lib
<project folder>\board_files

Vivado Project will be generated by TE Scripts
Vitis<project folder>\sw_libAdditional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation
PetaLinux<project folder>\os\petalinuxPetaLinux template with current configuration




Additional Sources

Scroll Title
anchorTable_ADS
title-alignmentcenter
titleAdditional design sources

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

TypeLocationNotes
SI5338<project folder>\misc\Si5338SI5338 Project with current PLL Configuration
init.sh<project folder>\misc\sd\Additional Initialization Script for Linux (working from sd card only)



Prebuilt

Page properties
hiddentrue
idComments

Notes :

  • prebuilt files
  • Template Table:

    • Scroll Title
      anchorTable_PF
      titlePrebuilt files

      Scroll Table Layout
      orientationportrait
      sortDirectionASC
      repeatTableHeadersdefault
      style
      widths
      sortByColumn1
      sortEnabledfalse
      cellHighlightingtrue

      File

      File-Extension

      Description

      BIF-File*.bifFile with description to generate Bin-File
      BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
      BIT-File*.bitFPGA (PL Part) Configuration File
      Boot Source*.scr

      Distro Boot file

      DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface

      Debian SD-Image

      *.img

      Debian Image for SD-Card

      Diverse Reports---Report files in different formats
      Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
      LabTools Project-File*.lprVivado Labtools Project File

      MCS-File

      *.mcs

      Flash Configuration File with Boot-Image (MicroBlaze or FPGA part only)

      MMI-File

      *.mmi

      File with BRAM-Location to generate MCS or BIT-File with *.elf content (MicroBlaze only)

      OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
      Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems

      SREC-File

      *.srec

      Converted Software Application for MicroBlaze Processor Systems




Scroll Title
anchorTable_PF
title-alignmentcenter
titlePrebuilt files (only on ZIP with prebult content)

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

File

File-Extension

Description

BIF-File*.bifFile with description to generate Bin-File
BIN-File*.binFlash Configuration File with Boot-Image (Zynq-FPGAs)
BIT-File*.bitFPGA (PL Part) Configuration File
Boot Source*.scr

Distro Boot file

DebugProbes-File*.ltxDefinition File for Vivado/Vivado Labtools Debugging Interface
Diverse Reports---Report files in different formats
Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux
LabTools Project-File*.lprVivado Labtools Project File
OS-Image*.ubImage with Linux Kernel (On Petalinux optional with Devicetree and RAM-Disk)
Software-Application-File*.elfSoftware Application for Zynq or MicroBlaze Processor Systems



Download

Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

Page properties
hiddentrue
idComments


Reference Design is available on:

Design Flow

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue


Page properties
hiddentrue
idComments
Notes :
  • Basic Design Steps

  • Add/ Remove project specific description


Note

Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.

Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

See also:

The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI.  For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

Note

Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")


  1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

    Code Block
    languagebash
    themeMidnight
    title_create_win_setup.cmd/_create_linux_setup.sh
    ------------------------Set design paths----------------------------
    -- Run Design with: _create_win_setup
    -- Use Design Path: <absolute project path>
    --------------------------------------------------------------------
    -------------------------TE Reference Design---------------------------
    --------------------------------------------------------------------
    -- (0)  Module selection guide, project creation...prebuilt export...
    -- (1)  Create minimum setup of CMD-Files and exit Batch
    -- (2)  Create maximum setup of CMD-Files and exit Batch
    -- (3)  (internal only) Dev
    -- (4)  (internal only) Prod
    -- (c)  Go to CMD-File Generation (Manual setup)
    -- (d)  Go to Documentation (Web Documentation)
    -- (g)  Install Board Files from Xilinx Board Store (beta)
    -- (a)  Start  design with unsupported Vivado Version (beta)
    -- (x)  Exit Batch (nothing is done!)
    ----
    Select (ex.:'0' for module selection guide):


  2. Press 0 and enter to start "Module Selection Guide"
  3. Createproject and follow instructions of the product selection guide, settings file will be configured automatically during this process.
    • optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

      Note

      Note: Select correct one, see also Vivado Board Part Flow


  4. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

    Code Block
    languagepy
    themeMidnight
    titlerun on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
    TE::hw_build_design -export_prebuilt


    Info

    Using Vivado GUI is the same, except file export to prebuilt folder.


  5. Create and configure your PetaLinux project with exported .xsa-file, see PetaLinux KICKstart
    • use TE Template from "<project folder>\os\petalinux"
    • use exported .xsa file from "<project folder>\prebuilt\hardware\<short name>" . Note: HW Export from Vivado GUI creates another path as default workspace.

    • The build images are located in the "<plnx-proj-root>/images/linux" directory

  6. Configure the boot.scr file as needed, see Distro Boot with Boot.scr

  7. Copy PetaLinux build image files to prebuilt folder
    • copy u-boot.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

      Info

      "<project folder>\prebuilt\os\petalinux\<ddr size>" or "<project folder>\prebuilt\os\petalinux\<short name>"


      Page properties
      hiddentrue
      idComments

      This step depends on Xilinx Device/Hardware

      for Zynq-7000 series

      • copy u-boot.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

      for ZynqMP

      • copy u-boot.elf, bl31.elf, image.ub and boot.scr from "<plnx-proj-root>/images/linux" to prebuilt folder

      for ...

      • ...


  8. Generate Programming Files with Vitis

    Code Block
    languagepy
    themeMidnight
    titlerun on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
    TE::sw_run_vitis -all
    TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)


    Note

    TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis


Launch

Scroll Ignore
scroll-pdftrue
scroll-officetrue
scroll-chmtrue
scroll-docbooktrue
scroll-eclipsehelptrue
scroll-epubtrue
scroll-htmltrue

Programming

Page properties
hiddentrue
idComments
Note:
  • Programming and Startup procedure


Note

Check Module and Carrier TRMs for proper HW configuration before you try any design.

Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.


Warning

TE0715-0x-30-xx  only: HP IO Banks max power supply voltage is 1.8V.

Xilinx documentation for programming and debugging: Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging

Get prebuilt boot binaries

  1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
  2. Press 0 and enter to start "Module Selection Guide"
    1. Select assembly version
    2. Validate selection
    3. Select Create and open delivery binary folder

      Info

      Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated


QSPI-Boot mode

Optional for Boot.bin on QSPI Flash and image.ub and boot.scr on SD or USB.

  1. Connect JTAG and power on carrier with module
  2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"

    Code Block
    languagepy
    themeMidnight
    titlerun on Vivado TCL (Script programs BOOT.bin on QSPI flash)
    TE::pr_program_flash -swapp u-boot
    TE::pr_program_flash -swapp hello_te0715 (optional)


    Note

    To program with Vitis/Vivado GUI, use special FSBL (zynq_fsbl_flash) on setup


  3. Copy image.ub and boot.scr on SD or USB
    • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder,see: Get prebuilt boot binaries
    • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
  4. Set Boot Mode to QSPI-Boot and insert SD or USB.
    • Depends on Carrier, see carrier TRM.

SD-Boot mode

  1. Copy image.ub, boot.scr and Boot.bin on SD
    • use files from "<project folder>\_binaries_<Article Name>\boot_linux" from generated binary folder,see: Get prebuilt boot binaries
    • or use prebuilt file location, see "<project folder>\prebuilt\file_location.txt"
    • optional: use startup script init.sh for SD
  2. Set Boot Mode to SD-Boot.
    • Depends on Carrier, see carrier TRM.
  3. Insert SD-Card in SD-Slot.

JTAG

Not used on this Example.

Usage

  1. Prepare HW like described on section 69107739
  2. Connect UART USB (most cases same as JTAG)
  3. Select SD Card as Boot Mode (or QSPI - depending on step 1)

    Info

    Note: See TRM of the Carrier, which is used.


    Tip

    Starting with Petalinux version 2020.1, the industry standard "Distro-Boot" boot flow for U-Boot was introduced, which significantly expands the possibilities of the boot process and has the primary goal of making booting much more standardised and predictable.
    The boot options described above describe the common boot processes for this hardware; other boot options are possible.
    For more information see Distro Boot with Boot.scr


  4. Power On PCB

    Expand
    titleboot process

    1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

    2. FSBL init PS, programs PL using the bitstream and loads U-boot from SD into DDR,

    3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


    Page properties
    hiddentrue
    idComments

    This step depends on Xilinx Device/Hardware

    for Zynq-7000 series

    1. Zynq Boot ROM loads FSBL from SD/QSPI into OCM,

    2. FSBL init the PS, programs the PL using the bitstream and loads U-boot from SD/QSPI into DDR,

    3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


    for ZynqMP???

    1. ZynqMP Boot ROM FSBL from QSPI into OCM,

    2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from SD/QSPI into DDR,

    3. U-boot loads Linux (image.ub) from SD/QSPI/... into DDR


    for native FPGA

    ...

...

Launch

Page properties
hiddentrue
idComments
Note:
  • Programming and Startup procedure
Note

Check Module and Carrier TRMs for proper HW configuration before you try any design.

Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first lunch.

TE0715-0x-30-xx  only: HP IO Banks max power supply voltage is 1.8V.

Programming

Xilinx documentation for programming and debugging: Vivado/SDK/SDSoC-Xilinx Software Programming and Debugging

Get prebuilt boot binaries

  1. _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
  2. Press 0 and enter to start "Module Selection Guide"
    1. Select assembly version
    2. Validate selection
    3. Select Create and open delivery binary folder
      Note: Folder (<project foler>/_binaries_<Artikel Name>) with subfolder (boot_<app name>) for different applications will be generated

QSPI

Optional for Boot.bin on QSPI Flash and image.ub on SD.

  1. Connect JTAG and power on carrier with module
  2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"
  3. Type on Vivado TCL Console: TE::pr_program_flash -swapp u-boot
    Note: To program with SDK/Vivado GUI, use special FSBL (zynq_fsbl_flash) on setup
             optional "TE::pr_program_flash -swapp hello_te0715" possible
  4. Copy image.ub on SD-Card
    • use files from (<project foler>/_binaries_<Articel Name>)/boot_linux from generated binary folder,see: Get prebuilt boot binaries
    • or use prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
  5. Set Boot Mode to QSPI-Boot and insered SD.
    • Depends on Carrier, see carrier TRM.

SD

  1. Copy image.ub and Boot.bin on SD-Card.
    • use files from (<project foler>/_binaries_<Articel Name>)/boot_linux from generated binary folder,see: Get prebuilt boot binaries
    • or use prebuilt file location, see <design_name>/prebuilt/readme_file_location.txt
  2. Set Boot Mode to SD-Boot.
    • Depends on Carrier, see carrier TRM.
  3. Insert SD-Card in SD-Slot.

JTAG

Not used on this Example.

Usage

...


Linux

  1. Open Serial Console (e.g. putty)
    • Speed: 115200
    • select COM Port

...

    • Info

      Win OS, see device manager, Linux OS see  dmesg |grep tty  (UART is *USB1

...

    • )


  1. Linux Console:

    Code Block
    languagebash
    themeMidnight
    title
  1. For Linux Login use:

...

  1. petalinux login: root

...

  1. 
    Password: root


    Info

    Note: Wait until Linux boot finished


  2. You can use Linux shell now.

...


  1. Code Block
    languagebash
    themeMidnight
    i2cdetect -y -r 0	(check I2C 1 Bus)
    dmesg | grep rtc	(RTC check)
    udhcpc				(ETH0 check)
    lsusb				(USB check)

...


  1. Option Features
    • Webserver to get access to Zynq
      • insert IP on web browser to start web interface
    • init.sh scripts
      • add init.sh script on SD, content will be load automatically on startup (template included in

...

      • "<project folder>\misc\SD")

Vivado HW Manager 

Page properties
hiddentrue
idComments

Note:

  • Add picture of HW Manager

  • add notes for the signal either groups or topics, for example:

    Control:

    • add controllable IOs with short notes..

    Monitoring:

    • add short notes for signals which will be monitored only
    • SI5338

...

    • CLKs:

...

    ...

        • Set radix from VIO signals to unsigned integer. Note: Frequency Counter is inaccurate and displayed unit is Hz
        • expected CLK Frequency...
    Open Vivado HW-Manager and add VIO signal to dashboard (*.ltx located on prebuilt folder).
    • Monitoring:
      • Si5338

    ...

      • CLKs:
        • Set radix from VIO signals to unsigned integer. Note: Frequency Counter is inaccurate and displayed unit is Hz
        • MGT CLK is configured to 125MHz by default, FCLK is not configured by default (optional possible over FSBL → 50MHz on delivered configuration, see FSBL description).
    Scroll Title
    anchorFigure_

    ...

    VHM
    title-alignmentcenter
    titleVivado Hardware Manager
    Image Added


    System Design - Vivado

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    ...



    Page properties
    hiddentrue
    idComments

    Note:

    • Description of Block Design, Constrains... BD Pictures from Export...


    Block Design

    Scroll Title
    anchorFigure_BD
    title-alignmentcenter
    titleBlock Design
    Image Modified


    PS Interfaces

    Page properties
    hiddentrue
    idComments

    Note:

    • optional for Zynq / ZynqMP only

    • add basic PS configuration

    Activated interfaces:

    Scroll Title
    anchorTable_PSI
    title-alignmentcenter
    titlePS Interfaces

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    TypeNote
    DDR---
    QSPIMIO
    I2C1MIO
    UART0MIO
    GPIOMIO
    ETH, USB RstMIO
    SD0MIO
    USB0MIO
    ETH0MIO
    TTC0..1EMIO

    ...

    SWDTEMIO


    Constrains

    Basic module constrains

    Code Block
    languageruby
    title_i_bitgen_common.xdc
    set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
    set_property CONFIG_VOLTAGE 3.3 [current_design]
    set_property CFGBVS VCCO [current_design]
    
    set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design]


    Code Block
    languageruby
    title_i_unused_io.xdc
    set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]

    Design specific constrain

    Code Block
    languageruby
    title_i_io.xdc
    set_property PACKAGE_PIN K2 [get_ports {fclk[0]}]
    set_property IOSTANDARD LVCMOS18 [get_ports {fclk[0]}]
    set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets fclk_IBUF[0]]


    Code Block
    languageruby
    title_i_timing.xdc
    # for fmeter only
    # set_false_path -from [get_clocks clk_fpga_0] -to [get_clocks {zsys_i/util_ds_buf_0/U0/IBUF_OUT[0]}]
    # set_false_path -from [get_clocks {zsys_i/util_ds_buf_0/U0/IBUF_OUT[0]}] -to [get_clocks clk_fpga_0]
    # set_false_path -from [get_clocks clk_fpga_0] -to [get_clocks {zsys_i/util_ds_buf_1/U0/BUFG_O[0]}]

    Software Design

    ...

    - Vitis

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for different apps

    For

    ...

    Vitis project creation, follow instructions from:

    ...

    Vitis

    Application

    Page properties
    hiddentrue
    idComments

    ----------------------------------------------------------

    FPGA Example

    scu

    MCS Firmware to configure SI5338 and Reset System.

    srec_spi_bootloader

    TE modified

    ...

    2020.

    ...

    2 SREC

    Bootloader to load app or second bootloader from flash into DDR

    Descriptions:

    • Modified Files: blconfig.h, bootloader.c
    • Changes:
      • Add some console outputs and changed bootloader read address.
      • Add bugfix for 2018.2 qspi flash

    xilisf_v5_11

    TE modified

    ...

    2020.

    ...

    2 xilisf_v5_11

    • Changed default Flash type to 5.

    ----------------------------------------------------------

    Zynq Example:

    zynq_fsbl

    TE modified

    ...

    2020.

    ...

    2 FSBL

    General:

    • Modified Files:main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    ...

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • READ MAC from EEPROM and make Address accessible by UBOOT (need copy defines on

    ...

      • uboot platform-top.h)
      • CPLD access
      • Read CPLD Firmware and SoC Type
      • Configure Marvell PHY

    zynq_fsbl_flash

    TE modified

    ...

    2020.

    ...

    2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:

    ...

      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    ZynqMP Example:

    ----------------------------------------------------------

    zynqmp_fsbl

    TE modified

    ...

    2020.

    ...

    2 FSBL

    General:

    • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
    • Add Files:  te_xfsbl_hooks.h/.c (for hooks and board)

    ...

    • General Changes: 
      • Display FSBL Banner and Device Name

    Module Specific:

    • Add Files: all TE Files start with te_*
      • Si5338 Configuration
      • ETH+OTG Reset over MIO

    zynqmp_fsbl_flash

    TE modified

    ...

    2020.

    ...

    2 FSBL

    General:

    • Modified Files: xfsbl_initialisation.c, xfsbl_hw.h, xfsbl_handoff.c, xfsbl_main.c
    • General Changes:

    ...

      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation


    zynqmp_pmufw

    Xilinx default PMU firmware.

    ----------------------------------------------------------

    General Example:

    hello_te0820

    Hello TE0820 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux.

    ...

    Vitis is used to generate Boot.bin.

    Template location:

    ...

    "<project folder>\sw_lib

    ...

    \sw_apps

    ...

    \"

    zynq_fsbl

    TE modified

    ...

    2020.2 FSBL

    General:

    • Modified Files: main.c, fsbl_hooks.h/.c (search for 'TE Mod' on source code)
    • Add Files: te_fsbl_hooks.h/.c (for hooks and board)

    ...

    • General Changes: 
      • Display FSBL Banner and Device ID

    Module Specific:

    • Add Files: all TE Files start with te_*
      • SI5338 Configuration

    zynq_fsbl_flash

    TE modified

    ...

    2020.2 FSBL

    General:

    • Modified Files: main.c
    • General Changes:

    ...

      • Display FSBL Banner
      • Set FSBL Boot Mode to JTAG
      • Disable Memory initialisation

    hello_te0715

    Hello TE0715 is a Xilinx Hello World example as endless loop instead of one console output.

    u-boot

    U-Boot.elf is generated with PetaLinux. Vitis is used to generate Boot.bin.

    Software Design - 

    ...

    PetaLinux

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue


    Page properties
    hiddentrue
    idComments
    Note:
    • optional chapter separate

    • sections for linux

    • Add "No changes." or "Activate: and add List"

    For PetaLinux installation

    ...

    and project creation, follow instructions from:

    Config

    Start with petalinux-config or petalinux-config --get-hw-description

    Changes:

    • CONFIG_SUBSYSTEM_ETHERNET_PS7_ETHERNET_0_MAC=""

    U-Boot

    Start with petalinux-config -c u-boot

    Changes:

    • CONFIG_ENV_IS_NOWHERE=y
    • # CONFIG_ENV_IS_IN_SPI_FLASH is not set
    • CONFIG_

    ...

    • ZYNQ_GEM_I2C_MAC_OFFSET=0xFA
    • CONFIG_SYS_I2C_EEPROM_ADDR=0x50

    ...

    Change platform-top.h:

    Code Block
    languagejs

    Device Tree

    Code Block
    languagejs
    /include/ "system-conf.dtsi"
    / {
      chosen {
        xlnx,eeprom = &eeprom;
      };
    };
    
    /* default */
    
    /* QSPI PHY */
    &qspi {
        #address-cells = <1>;
        #size-cells = <0>;
        status = "okay";
        flash0: flash@0 {
            compatible = "jedec,spi-nor";
            reg = <0x0>;
            #address-cells = <1>;
            #size-cells = <1>;
        };
    };
    
    
    
    /* ETH PHY */
    &gem0 {
    
    	status = "okay";
        	ethernet_phy0: ethernet-phy@0 {
    		compatible = "marvell,88e1510";
    		device_type = "ethernet-phy";
                    reg = <0>;
    	};
    };
    
    
    /* USB PHY */
    /{
        usb_phy0: usb_phy@0 {
            compatible = "ulpi-phy";
            //compatible = "usb-nop-xceiv";
            #phy-cells = <0>;
            reg = <0xe0002000 0x1000>;
            view-port = <0x0170>;
            drv-vbus;
        };
    };
    
    &usb0 {
        dr_mode = "host";
        //dr_mode = "peripheral";
        usb-phy = <&usb_phy0>;
    };
    
    /* I2C */
    // i2c PLL: 0x70, i2c eeprom: 0x50
    
    &i2c1 {
        rtc@6F {        // Real Time Clock
           compatible = "isl12022";
           reg = <0x6F>;
       };
      //MAC EEPROM
      eeprom: eeprom@50 {
        compatible = "atmel,24c08";
        reg = <0x50>;
      

    ...

    };

    ...

    
    };
    
    

    FSBL patch

    Must be add manually --> work in progress

    Kernel

    Start with petalinux-config -c kernel

    Changes:

    • CONFIG_RTC_DRV_ISL12022=y

    Rootfs

    Start with petalinux-config -c rootfs

    Changes:

    • CONFIG_i2c-tools=y
    • CONFIG_busybox-httpd=y (for web server app)
    • CONFIG_usbutils=y

    Applications

    See "<project folder>\os\petalinux\project-spec\meta-user\recipes-apps\"

    startup

    Script App to load init.sh from SD Card if available.

    ...

    webfwu

    Webserver application suitable for Zynq access. Need busybox-httpd


    Additional Software

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    See: \os\petalinux\project-spec\meta-user\recipes-apps\startup\files

    webfwu

    Webserver application accemble for Zynq access. Need busybox-httpd

    ...



    Page properties
    hiddentrue
    idComments
    Note:
    • Add description for other Software, for example SI CLK Builder ...
    • SI5338 and SI5345 also Link to:

    No additional software is needed.

    SI5338

    File location

    ...

    "<project folder>\misc\Si5338\Si5338-*.slabtimeproj"

    General documentation how you work with

    ...

    this project will be available on Si5338

    Appx. A: Change History

    ...

    and Legal Notices

    Scroll Ignore
    scroll-pdftrue
    scroll-officetrue
    scroll-chmtrue
    scroll-docbooktrue
    scroll-eclipsehelptrue
    scroll-epubtrue
    scroll-htmltrue

    Document Change History

    To get content of older revision  got to "Change History"

    ...

    of this page and select older document revision number.

    Page properties
    hiddentrue
    idComments
    • Note this list must be only updated, if the document is online on public doc!
    • It's semi automatically, so do following
      • Add new row below first

      • Copy "Page Information Macro (date)" Macro-Preview, Metadata Version number, Author Name and description to the empty row. Important Revision number must be the same as the Wiki document revision number Update Metadata = "Page Information Macro (current-version)" Preview+1 and add Author and change description. --> this point is will be deleted on newer pdf export template

      • Metadata is only used of compatibility of older exports


    Scroll Title
    anchorTable_dch
    title-alignmentcenter
    titleDocument change history.

    Scroll Table Layout
    orientationportrait
    sortDirectionASC
    repeatTableHeadersdefault
    style
    widths2*,*,3*,4*
    sortByColumn1
    sortEnabledfalse
    cellHighlightingtrue

    DateDocument Revision

    Authors

    Description
    Page info
    modified-date
    modified-date
    dateFormatyyyy-MM-dd


    Page info
    infoTypeCurrent version
    dateFormatyyyy-MM-dd
    prefixv.
    typeFlat

    Page info
    infoTypeModified by
    dateFormatyyyy-MM-dd
    typeFlat

    • Release 2020.2
    • added boot.scr for distro boot
    2020-06-10v.33John Hartfiel
    • Release 2019.2
    2019-05-09v.32John Hartfiel
    • Release 2018.3
    • FSBL Rework
    • Script rework
    • some optional features
    2018-10-01v.31John Hartfiel
    • Release 2018.2
    • Redesign Board Part Files
    • New activate SI5338 example over FSBL
    • small Design changes
    • Update Documentation Style

    2019-04-06

    v.30John Hartfiel
    • New assembly variant

    2018-03-27

    v.29John Hartfiel
    • Bugfix Board Part Files
    2018-02-13v.28John Hartfiel
    • Release 2017.4
    2017-11-10v.22John Hartfiel
    • Design Update with new options
    • Add Si5338 section
    • Update FSBL section
    2017-10-19

    v.21

    John Hartfiel
    • Download Update
    2017-10-19v.20John Hartfiel
    • Document style update
    2017-10-06v.18John Hartfiel
    • Text correction
    • Update Launch section
    • Supported PCBs
    2017-10-02v.14John Hartfiel
    • Document update on Prebuilt section
    2017-09-28
    v.13
    John Hartfiel
    • Initial Release 2017.2
    --all

    Page info
    infoTypeModified users
    dateFormatyyyy-MM-dd
    typeFlat

    --


    Legal Notices

    Include Page
    IN:Legal Notices
    IN:Legal Notices



    Scroll Only


    HTML
    <style>
    .wiki-content .columnLayout .cell.aside {
    width: 0%;
    }</style>
    



    Scroll pdf ignore


    Custom_fix_page_content

    Table of contents

    Table of Contents
    outlinetrue