Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.


Page properties
hiddentrue
idComments

Template Revision 2.3
TRM Name always "TE Series Name" +TRM, for example "TE0720 TRM"


HTML
<!--
Template Revision 1.68
(HTML comments will be not displayed in the document, no need to remove them. For Template/Skeleton changes, increase Template Revision number. So we can check faster, if the TRM style is up to date).
-->
HTML
<!--
General Notes:
If some section is CPLD firmware dependent, make a note and if available link to the CPLD firmware description. It's in the TE shop download area in the corresponding module -> revision -> firmware folder.
-->
HTML
<!--
General Notes:
Designate all graphics and pictures with a number and a description. For example "Figure 1: TE07xx-xx Block Diagram" or "Table 1: Initial delivery state". "Figure x" and "Table x" have to be formatted to bold.  
-->
HTML
<!--
Link to the base folder of the module (remove de/ or en/ from the URL): for example:
https://shop.trenz-electronic.de/Download/?path=Trenz_Electronic/Modules_and_Module_Carriers/4x5/4x5_Carriers/TE0703/
  -->

...

Scroll pdf ignore

Table of Contents

Table of Contents

Overview

The Trenz Electronic TEF1001 FPGA board is a PCI Express form factor card (PCIe 2.0 or higher) integrating the Xilinx Kintex-7 XC7K160T, XC7K325T or XC7K410T FPGA SoC. The FPGA-board is designed for high system resources and intended for use in applications with high demands on system performance and throughput. To extent the board with standard DDR3 SDRAM memory module, there is a 204-pin SODIMM socket with 64bit databus width on the board present.

The board offers a HPC (High Pin Count) ANSI/VITA 57.1 compatible FMC interface connector for standard FPGA Mezzanine cards and modules. Other interface connectors found on-board include JTAG for accessing FPGA and on-board System Controller CPLD, and also connector with 5 high-speed I/O differential signaling pairs.

The TEF1001 FPGA board is intended to be used as add-on card in a PCIe 2.0 or higher capable host systems, it can not be used as a stand-alone device.

HTML
<!--
Use short link the Wiki resource page: for example:
http://trenz.org/tef1001-info
List of available short links: https://wiki.trenz-electronic.de/display/CON/Redirects
  -->
Scroll Only (inline)
Refer to http://trenz.org/tef1001-info for the current online version of this manual and other available documentation.

Key Features

  • Xilinx Kintex-7 XC7K160T, XC7K325T or XC7K410T FPGA SoC
  • Large number of configurable I/Os are provided via rugged HPC FMC connector
  • Dual ARM Cortex-A9 MPCore
    • 1 GByte RAM (32-Bit wide DDR3)
    • 32 MByte QSPI Flash memory
    • 2 x Hi-Speed USB2 ULPI transceiver PHY
    • 2 x Gigabit (10/100/1000 Mbps) Ethernet transceiver PHY
    • 4 GByte eMMC (optional up to 64 GByte)
  • 2 x MAC-address EEPROMs
  • Optional 2x 64 MByte HyperFLASH or 2x 8 MByte HyperRAM (max 2x 32 MByte HyperRAM)
  • Temperature compensated RTC (real-time clock)
  • Si5338A programmable quad PLL clock generator for GTX transceiver clocks
  • Plug-on module with 3 x 160-pin high-speed strips
    • 16 GTX high-performance transceiver
    • 2x GT transceiver clock inputs
    • 254 FPGA I/O's (125 LVDS pairs)
  • On-board high-efficiency switch-mode DC-DC converters
  • System management
  • eFUSE bit-stream encryption
  • AES bit-stream encryption
  • Evenly-spread supply pins for good signal integrity
  • User LED

...

tables have all same width (web max 1200px and pdf full page(640px), flexible width or fix width on menu for single column can be used as before) -->
<style>
.wrapped{
  width: 100% !important;
  max-width: 1200px !important;
 }
</style>


Page properties
hiddentrue
idComments

Important General Note:

  • If some section is configurable and depends on Firmware, please refer to the addition page (for example CPLD). If not available, add note, that this part is configurable
  • Designate all graphics and pictures with a number and a description, Use "Scroll Title" macro

    • Use "Scroll Title" macro for pictures and table labels. Figure number must be set manually at the moment (automatically enumeration is planned by scrollPDF)
      • Figure template:

        Scroll Title
        anchorFigure_anchorname
        titleText


        Scroll Ignore

        Create DrawIO object here: Attention if you copy from other page, objects are only linked.


        Scroll Only

        image link to the generate DrawIO PNG file of this page. This is a workaround until scroll pdf export bug is fixed



      • Table template:

        • Layout macro can be use for landscape of large tables

      • Scroll Title
        anchorTable_tablename
        titleText

        Scroll Table Layout
        orientationportrait
        sortDirectionASC
        repeatTableHeadersdefault
        style
        widths
        sortByColumn1
        sortEnabledfalse
        cellHighlightingtrue

        ExampleComment
        12



    • The anchors of the Scroll Title should be named consistant across TRMs. A incomplete list of examples is given below

      • <type>_<main section>_<name>

        • type: Figure, Table
        • main section:
          • "OV" for Overview
          • "SIP" for Signal Interfaces and Pins,
          • "OBP" for On board Peripherals,
          • "PWR" for Power and Power-On Sequence,
          • "B2B" for Board to Board Connector,
          • "TS" for Technical Specification
          • "VCP" for Variants Currently in Production
          •  "RH" for Revision History
        • name: custom, some fix names, see below
      • Fix names:
        • "Figure_OV_BD" for Block Diagram

        • "Figure_OV_MC" for Main Components

        • "Table_OV_IDS" for Initial Delivery State

        • "Table_PWR_PC" for Power Consumption

        • "Figure_PWR_PD" for Power Distribution
        • "Figure_PWR_PS" for Power Sequence
        • "Figure_PWR_PM" for Power Monitoring
        • "Table_PWR_PR" for Power Rails
        • "Table_PWR_BV" for Bank Voltages
        • "Table_TS_AMR" for Absolute_Maximum_Ratings

        • "Table_TS_ROC" for Recommended_Operating_Conditions

        • "Figure_TS_PD" for Physical_Dimensions
        • "Table_VCP_SO" for TE_Shop_Overview
        • "Table_RH_HRH" for Hardware_Revision_History

        • "Table_RH_DCH" for Document_Change_History
    • Use Anchor in the document: add link macro and add "#<anchorname>
    • Refer to Anchror from external : <page url>#<pagename without space characters>-<anchorname>



Page properties
hiddentrue
idComments

-----------------------------------------------------------------------


Page properties
hiddentrue
idComments

Note for Download Link of the Scroll ignore macro:


Scroll Ignore

Download PDF version of this document.


Scroll pdf ignore

Table of Contents

Table of Contents

Overview

Page properties
hiddentrue
idComments

Notes :

The Trenz Electronic TEF1001 FPGA board is a PCI Express form factor card integrating the Xilinx Kintex-7 XC7K160T, XC7K325T or XC7K410T FPGA SoC. The FPGA-board is designed for high system resources and intended for use in applications with high demands on system performance and throughput. To extent the board with standard DDR3 SDRAM memory module, there is a 204-pin SODIMM socket with 64bit databus width on the board present. Highspeed data transmission is enabled by the 4 lane PCIe Gen 2 interface.

The board offers a HPC (High Pin Count) ANSI/VITA 57.1 compatible FMC interface connector for standard FPGA Mezzanine cards and modules. Other interface connectors found on-board include JTAG for accessing FPGA and on-board System Controller CPLD.

The TEF1001 FPGA board is intended to be used as add-on card in a PCIe 2.0 or higher capable host system to meet the power supply requirements.

Refer to http://trenz.org/tef1001-info for the current online version of this manual and other available documentation.

Key Features

Page properties
hiddentrue
idComments

Notes:

  • List of key features of the PCB
  • Xilinx Kintex-7 XC7K160T, XC7K325T or XC7K410T FPGA SoC
  • Large number of configurable I/Os are provided via HPC FMC connector
    • 4 GTX high-performance transceiver
    • 2x MGT transceiver clock inputs
    • 160 FPGA I/O's (80 LVDS pairs)
  • On-board high-efficiency switch-mode DC-DC converters
  • Lattice MachXO2 LCMXO2-1200HC System Controller CPLD
  • 10x User LEDs
  • PCI Express x8 connector with 4 lane PCIe Gen 2 interface
  • ANSI Vita 57.1 FMC High Pin Count (HPC) connector
  • DDR3 SODIMM SDRAM with ECC socket with 64bit databus width
  • 256Mbit (32MByte) Quad SPI Flash memory (for configuration and operation) accessible through:
    • FPGA
    • JTAG port (SPI indirect, bus width x4)
  • FPGA configuration through:
    • JTAG connector
    • Quad SPI Flash memory
  • Clocking

    • Si5338 programmable quad PLL clock generator - 4 outputs for MGT and PL clocks

    • 200MHz oscillator for DDR3 bank

  • System management and power sequencing

Additional assembly options are available for cost or performance optimization upon request.

Block Diagram

Scroll Title
anchorFigure_OV_BD
titleTEF1001-02 block diagram


Scroll Ignore

draw.io Diagram
borderfalse
viewerToolbartrue
fitWindowfalse
diagramDisplayName
lboxtrue
revision14
diagramNameTEF1001 block diagram

Block Diagram

HTML
<!--
Rules for all diagrams:
1. All diagrams are wrapped in the "Scroll Title" macro.
	- The title has to be named with the diagrams name
	- The anchor has the designation figure_x, whereby x is the number of the diagram

2. The Draw.IO diagram has to be inserted in the "Scroll Ignore" macro
	- Border has to be switched off in the macro edit
	- Toolbar has to be hidden in the macro edit

3. A PNG Export of the diagram has to be inserted in the "Scroll Only" macro, see Wiki page "Diagram Drawing Guidelines" how to do this step.

The workaround with the additional PNG of the diagram is necessary until the bug of the Scroll PDF Exporter, which cuts diagram to two pages, is fixed.


IMPORTANT NOTE: In case of copy and paste the TRM skeleton to a new Wiki page, delete the Draw.IO diagrams and the PNGs, otherwise due to the linkage of the copied diagrams every change in the TRM Skeleton will effect also in the created TRM and vice versa!

See page "Diagram Drawing Guidelines" how to clone an existing diagram as suitable template for the new diagram!

   -->

...

anchorFigure_1
titleFigure 1: TEF1001-02 block diagram

...

Scroll Only

Image Removed

Main Components

Scroll Title
anchorFigure_2
titleFigure 2: TEF1001-02 main components
Scroll Ignore

draw.io Diagram
borderfalse
viewerToolbartrue
fitWindowfalse
diagramDisplayName
lboxtrue
revision4
diagramNameTEF1001 main components
simpleViewerfalse
width
linksauto
tbstylehidden
diagramWidth642641


Scroll Only

Image Removed

Image Added


Main Components

Page properties
hiddentrue
idComments

Notes :

  • Picture of the PCB (top and bottom side) with labels of important components
  • Add List below


Scroll Title
anchorFigure_OV_MC
titleTEF1001-02 main components


Scroll Ignore

draw.io Diagram
borderfalse
viewerToolbartrue
fitWindowfalse
diagramDisplayName
lboxtrue
revision6
diagramNameTEF1001 main components
simpleViewerfalse
width
linksauto
tbstylehidden
diagramWidth641


Scroll Only

Image Added



  1. Xilinx Kintex XC7K-2FBG676I FPGA SoC, U6
  2. Xilinx Kintex XC7K-2FBG676I FPGA SoC, U6
  3. ANSI/VITA 57.1 compliant FMC HPC connector, J2
  4. Cooling fan 5VDC M1 (45X5MM, 0.7W, 1.06CFM), M1
  5. PCIe x8 connector, J1
  6. SO-DIMM socket, U2
  7. 6-pin 12V power connector, J5
  8. Step-down DC-DC converter @1.5V and @4V (LT LTM4676A), U3
  9. Step-down DC-DC converter @1.0V (LT LTM4676A), U4
  10. 256 Mbit Quad SPI Flash Memory (Micron N25Q256A), U12
  11. 10x Green user LEDs, D1 ... D10
  12. 4-wire PWM fan connector, J4
  13. User button, S2
  14. FPGA JTAG connector, J9
  15. sd
  16. sd
  17. sd
  18. sd
  19. s
  20. sd
  21. sd
  22. s
  23. sd
  24. sd
  25. s
  26. s
  27. sd
  28. sd
  29. sd
  30. sd
  31. FPGA JTAG connector, J9
  32. User button, S2
  33. SO-DIMM socket, U2
  34. Xilinx Virtex-7 XC7VX330T-2FFG1157C FPGA, U1
  35. ANSI/VITA 57.1 compliant FMC HPC connector, J2
  36. SMA coaxial connector for external clock input, J3
  37. System Controller CPLD JTAG connector, J8
  38. I2C connector for LT LTM4676 step-down DC-DC regulator, J10
  39. IDC header for access to 5 x high-speed data lanes (LVDS pairs), J7
  40. Cooling fan 5VDC M1 (45X5MM, 0.7W, 1.06CFM), M1
  41. PCIe x8 connector, J1
  42. DDR3 SODIMM 204-pin socket, U24-wire PWM fan connector, J4
  43. 6-pin 12V power connector, J5
  44. Reference clock generator @10.0 MHz (P5146) , U11
  45. Step-down DC-DC converter @1.5V and @4V (LT LTM4676A), U3
  46. Step-down DC-DC converter @1.0V (LT LTM4676A), U4LDO DC-DC regulator @3.3V (LMK_3V3) (TI TPS74901RGWR), U21
  47. 256 Mbit Quad SPI Flash Memory (Micron N25Q256A), U12
  48. Cooling fan 5VDC M1 (45X5MM, 0.7W, 1.06CFM)
  49. System Controller CPLD (Lattice Semiconductor LCMXO2-1200HC), U5
  50. Ultra low jitter clock synthesizer (TI LMK04828B), U9
  51. Step-down DC-DC regulator @1.0V (LT LTM4676), U4
  52. Step-down DC-DC regulator @1.5V (VCC1V5) (LT LTM4676, U3
  53. I2C Programmable quad clock generator (Silicon Labs Si5338A), U13
  54. 4A PowerSoC DC-DC converter @1.8V (Altera EN6347QI, U20
  55. LDO DC-DC regulator @1.0V (MGTAVCC_FPGA) (TI TPS74401RGW), U18
  56. 10x Green user LEDs connected to FPGA, D1 ... D10
  57. 4-wire PWM fan connector, J4
  58. User button, S2
  59. FPGA JTAG connector, J9
  60. 4bit DIP switch, S1
  61. I²C header for LTM4676A DC-DC converter, J10
  62. System Controller CPLD JTAG header, J8
  63. 1x Green LED connected to SC CPLD, D11
  64. 2-pin 5V FAN header, J6
  65. System Controller CPLD (Lattice Semiconductor LCMXO2-1200HC), U5
  66. 6A PowerSoC DC-DC converter @FMC_VADJ (Altera EN5365QI), U7
  67. 4A PowerSoC DC-DC converter @3.3V (3V3FMC) (Altera EN6347QI), U15
  68. LDO converter LDO DC-DC regulator @1.2V (MGTAVTT_FPGA) (TI TPS74401RGW), U174A PowerSoC DC-DC converter @3.3V (3V3FMC) (Altera EN6347QI)
  69. , U15LDO converter @1.0V (MGTAVCC_FPGA) (TI TPS74401RGW), U18
  70. 4A PowerSoC DC-DC converter @1.8V (FMC_VADJ) (Altera EN6347QI), U7

Initial Delivery State

...

24AA025E48 EEPROM's

...

User content not programmed

...

Scroll Title
anchorTable_OV_IDS
titleInitial delivery state of programmable on-board devices

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Storage device nameContentNotes
Si5338A OTP Areanot programmed-

...

SPI Flash OTP Area

Empty, not programmed

Except serial number programmed by flash vendor

SPI Flash Quad Enable bit

Programmed

-

SPI Flash main array

demo design

-
HyperFlash Memorynot programmed-

eFUSE USER

Not programmed

-

eFUSE Security

Not programmed

-

...

Table 1: Initial delivery state of programmable devices on the module

Boot Process

4 of the 7 boot mode strapping pins (MIO2 ... MIO8) of the Xilinx Zynq-7000 SoC device are hardware programmed on the board, 3 of them are set by the SC CPLD firmware. The boot strapping pins are evaluated by the Zynq device soon after the 'PS_POR' signal is deasserted to begin the boot process (see section "Boot Mode Pin Settings" of Xilinx manual UG585).

The TE0782 board is programmed in the SC CPLD firmware to boot initially from the on-board QSPI Flash memory U38. See section Bootmode in the TE0782 SC CPLD reference Wiki page.

The JTAG interface of the module is provided for storing the data to the QSPI Flash memory through the Zynq-7000 device.

Signals, Interfaces and Pins

Board to Board (B2B) I/Os

Zynq-7000 SoC's I/O banks signals connected to the B2B connectors:

...

B2B Connector

...

I/O Signal Count

...

10

...

HR

...

J3

...

44

...

User

...

11

...

HR

...

J3

...

40

...

User

...

HR

...

J2

...

40

...

User

...

13

...

HR

...

J2

...

40

...

User

...

33

...

HP

...

J1

...

48

...

User

...


Control Signals

To get started with TEF1001 board, some basic control signals are essential and are described in the following table:

Scroll Title
anchorTable_OV_CS
titleTEF1001 Control Signals

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Control signal

Switch / Button / LED / PinSignal Schematic Names

Connected to

Functionality

Notes
SC CPLD JTAG EnableDIP switch S1-1JTAG_ENSC CPLD U5, pin 82

ON-position: SC CPLD U5 JTAG interface enabled

-
BOOT ModeFPGA bank 0, pins T5, T2, P5-

Pin T5 (M0): 1V8
Pin T2 (M1): GND
Pin P5 (M2): GND

Hard-wired Boot ModeBy default the configuration mode pins M[2:0] of the
FPGA are set to QSPI mode (Master SPI)
Global Reset inputPush Button S2S2SC CPLD U5, pin 77Manual reset from user-
FMC_VADJ voltage selectionDIP switches S1-2, S1-3, S1-4VID0_FMC_VADJ_CTRL ...
VID2_FMC_VADJ_CTRL
SC CPLD U5, pins 71, 63, 62sets adjustable voltage 'FMC_VADJ' for FMC connectorDIP-switch states forwarded by SC CPLD U5
to DC-DC U7. Refer to section Configuration
DIP-switch for more details.


Signals, Interfaces and Pins

Page properties
hiddentrue
idComments

Notes:

  • For carrier or stand-alone boards use subsection for every connector typ (add designator on description, not on the subsection title), for example:
    • SD
    • USB
    • ETH
    • FMC
    • ...
  • For modules which needs carrier us only classes and refer to B2B connector if more than one is used, for example
    • JTAG
    • UART
    • I2C
    • MGT
    • ...

FMC HPC Connector

I/O signals and interfaces connected to the FPGA SoCs I/O bank and FMC connector J2:

Scroll Title
anchorTable_SIP_FMC_Interface
titleFMC connector J2 interfaces

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

InterfacesI/O Signal CountLVDS-pairs countConnected toVCCO bank VoltageNotes
I/O4824FPGA Bank 12 HRFMC_VADJBank voltage FMC_VADJ is supplied by DC-DC converter U7
3417FPGA Bank 13 HRFMC_VADJ
3417FPGA Bank 15 HRFMC_VADJ
4444FPGA Bank 16 HRVIO_B_FMCBank voltage VIO_B_FMC is supplied by FMC connector J2
I²C2-SC CPLD U5, Bank 2, pin 48, 49-FMC connector J2 is hardware programmed to I²C address 0x50
JTAG5-SC CPLD U5, Bank 2, pin 27, 28, 331, 32 ,363.3V4 JTAG pins with additional signal 'TRST'
MGT-8 (4 x RX/TX)Bank 116 GTX-4x MGT lanes
Clock Input-2Bank 116 GTX-2x Reference clock input to MGT bank
Control Signals3-SC CPLD U5, Bank 1, pin 68, 69 ,703.3V

'FMC_PG_C2M', 'FMC_PG_M2C', 'FMC_PRSNT_M2C_L'


For detailed information about the pin out, please refer to the Pin-out Tables.

FMC connector J2 MGT Lanes:

Scroll Title
anchorTable_SIP_FMC_MGT
titleFMC connector J2 MGT lanes

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

MGT LaneBankTypeSignal Schematic NameFMC Connector PinFPGA Pin
0116GTX
  • DP3_M2C_P
  • DP3_M2C_N
  • DP3_C2M_P
  • DP3_C2M_N
  • J2-A10
  • J2-A11
  • J2-A30
  • J2-A31
  • MGTXRXP0_116, G4
  • MGTXRXN0_116, G3
  • MGTXTXP0_116, F2
  • MGTXTXN0_116, F1
1116GTX
  • DP2_M2C_P
  • DP2_M2C_N
  • DP2_C2M_P
  • DP2_C2M_N
  • J2-A6
  • J2-A7
  • J2-A26
  • J2-A27
  • MGTXRXP1_116, E4
  • MGTXRXN1_116, E3
  • MGTXTXP1_116, D2
  • MGTXTXN1_116, D1
2116GTX
  • DP1_M2C_P
  • DP1_M2C_N
  • DP1_C2M_P
  • DP1_C2M_N
  • J2-A2
  • J2-A3
  • J2-A22
  • J2-A23
  • MGTXRXP2_116, C4
  • MGTXRXN2_116, C3
  • MGTXTXP2_116, B2
  • MGTXTXN2_116, B1
3116GTX
  • DP0_M2C_P
  • DP0_M2C_N
  • DP0_C2M_P
  • DP0_C2M_N
  • J2-C6
  • J2-C7
  • J2-C2
  • J2-C3
  • MGTXRXP3_116, B6
  • MGTXRXN3_116, B5
  • MGTXTXP3_116, A4
  • MGTXTXN3_116, A3



FMC connector J2 reference clock sources:

Scroll Title
anchorTable_SIP_FMC_CLK
titleFMC connector J2 clock signal input

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Signal Schematic NameConnected toFMC Connector PinFPGA PinNotes
  • GBTCLK0_M2C_P
  • GBTCLK0_M2C_N
MGT bank 116

J2-D4
J2-D5

MGTREFCLK0P_116, D6
MGTREFCLK0N_116, D5

Supplied by attached FMC module
  • GBTCLK1_M2C_P
  • GBTCLK1_M2C_N
MGT bank 116J2-B20
J2-B21
MGTREFCLK1P_116, F6
MGTREFCLK1N_116, F5
Supplied by attached FMC module



FMC connector J2 VCC/VCCIO:

Scroll Title
anchorTable_SIP_FMC_VCCIO
title FMC connector J2 available VCC/VCCIO

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Available VCC/VCCIOFMC Connector PinSourceNotes
3V3FMC

J2-D36
J2-D38
J2-D40
J2-C39

DCDC U15,
max. current: 4A

Enable by SC CPLD U5, bank 1, pin 60
Signal: 'EN_3V3FMC'

3V3

J2-D32

LDO U9,

max. current: 0.5A
not dedicated for FMC connector
12V

J2-C35
J2-C37

external source through
ATX main power connector

-
FMC_VADJ

J2-H40
J2-G39
J2-F40
J2-E39

DCDC U7,
max. current: 6A

Enable by SC CPLD U5, bank 1, pin 51
Signal: 'EN_FMC_VADJ'

set voltage FMC_VADJ by DIP switch S1



FMC connector J2 Cooling Fan:

Scroll Title
anchorTable_SIP_FMC_FAN
titleFMC connector J2 cooling fan

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Fan DesignatorEnable SignalNotes
M1

Enable by SC CPLD U5, bank 0, pin 78
Signal: 'FAN_FMC_EN'

-


PCI Express Interface

The TEF1001 FPGA board is a PCI Express card designed to fit into systems with PCI Express x8 slots and has a data transmission capability which meets PCIe Gen. 2 with 4 GTX lanes routed to the PCIe interface.

Following table lists lane number, MGT

Table 2: General overview of board to board I/O signals

For detailed information about the pin-out, please refer to the Pin-out table.

MGT Lanes

The Xilinx Zynq-7000 SoC used on the TE0782 module has 16 MGT transceiver lanes. All of them are wired directly to B2B connectors J1 and J3. MGT (Multi Gigabit Transceiver) lane consists of one transmit and one receive (TX/RX) differential pairs, four signals total per one MGT lane with data transmission rates up to 12.5Gb/s per lane (Xilinx GTX transceiver). Following table lists lane number, FPGA bank number, transceiver type, signal schematic name, board-to-board pin connection connector and FPGA pins connection:

...

  • MGT_RX0_P
  • MGT_RX0_N
  • MGT_TX0_P
  • MGT_TX0_N

...

  • J3-32
  • J3-30
  • J3-31
  • J3-29

...

  • MGTXRXP0_109
  • MGTXRXN0_109
  • MGTXTXP0_109
  • MGTXTXN0_109

...

  • MGT_RX1_P
  • MGT_RX1_N
  • MGT_TX1_P
  • MGT_TX1_N

...

  • J3-28
  • J3-26
  • J3-27
  • J3-25

...

  • MGTXRXP1_109
  • MGTXRXN1_109
  • MGTXTXP1_109
  • MGTXTXN1_109

...

  • MGT_RX2_P
  • MGT_RX2_N
  • MGT_TX2_P
  • MGT_TX2_N

...

  • J3-24
  • J3-22
  • J3-23
  • J3-21

...

  • MGTXRXP2_109
  • MGTXRXN2_109
  • MGTXTXP2_109
  • MGTXTXN2_109

...

  • MGT_RX3_P
  • MGT_RX3_N
  • MGT_TX3_P
  • MGT_TX3_N

...

  • J3-20
  • J3-18
  • J3-19
  • J3-17

...

  • MGTXRXP3_109
  • MGTXRXN3_109
  • MGTXTXP3_109
  • MGTXTXN3_109

...

  • MGT_RX4_P
  • MGT_RX4_N
  • MGT_TX4_P
  • MGT_TX4_N

...

  • J3-16
  • J3-14
  • J3-15
  • J3-13

...

  • MGTXRXP0_110
  • MGTXRXN0_110
  • MGTXTXP0_110
  • MGTXTXN0_110

...

  • MGT_RX5_P
  • MGT_RX5_N
  • MGT_TX5_P
  • MGT_TX5_N

...

  • J3-12
  • J3-10
  • J3-11
  • J3-9

...

  • MGTXRXP1_110
  • MGTXRXN1_110
  • MGTXTXP1_110
  • MGTXTXN1_110

...

  • MGT_RX6_P
  • MGT_RX6_N
  • MGT_TX6_P
  • MGT_TX6_N

...

  • J3-8
  • J3-6
  • J3-7
  • J3-5

...

  • MGTXRXP2_110
  • MGTXRXN2_110
  • MGTXTXP2_110
  • MGTXTXN2_110

...

  • MGT_RX7_P
  • MGT_RX7_N
  • MGT_TX7_P
  • MGT_TX7_N

...

  • J3-4
  • J3-2
  • J3-3
  • J3-1

...

  • MGTXRXP3_110
  • MGTXRXN3_110
  • MGTXTXP3_110
  • MGTXTXN3_110

...

  • MGT_RX8_P
  • MGT_RX8_N
  • MGT_TX8_P
  • MGT_TX8_N

...

  • J1-1
  • J1-3
  • J1-2
  • J1-4

...

  • MGTXRXP0_111
  • MGTXRXN0_111
  • MGTXTXP0_111
  • MGTXTXN0_111

...

  • MGT_RX9_P
  • MGT_RX9_N
  • MGT_TX9_P
  • MGT_TX9_N

...

  • J1-5
  • J1-7
  • J1-6
  • J1-8

...

  • MGTXRXP1_111
  • MGTXRXN1_111
  • MGTXTXP1_111
  • MGTXTXN1_111

...

  • MGT_RX10_P
  • MGT_RX10_N
  • MGT_TX10_P
  • MGT_TX10_N

...

  • J1-9
  • J1-11
  • J1-10
  • J1-12

...

  • MGTXRXP2_111
  • MGTXRXN2_111
  • MGTXTXP2_111
  • MGTXTXN2_111

...

  • MGT_RX11_P
  • MGT_RX11_N
  • MGT_TX11_P
  • MGT_TX11_N

...

  • J1-13
  • J1-15
  • J1-14
  • J1-16

...

  • MGTXRXP3_111
  • MGTXRXN3_111
  • MGTXTXP3_111
  • MGTXTXN3_111

...

  • MGT_RX12_P
  • MGT_RX12_N
  • MGT_TX12_P
  • MGT_TX12_N

...

  • J1-17
  • J1-19
  • J1-18
  • J1-20

...

  • MGTXRXP0_112
  • MGTXRXN0_112
  • MGTXTXP0_112
  • MGTXTXN0_112

...

  • MGT_RX13_P
  • MGT_RX13_N
  • MGT_TX13_P
  • MGT_TX13_N

...

  • J1-21
  • J1-23
  • J1-22
  • J1-24

...

  • MGTXRXP1_112
  • MGTXRXN1_112
  • MGTXTXP1_112
  • MGTXTXN1_112

...

  • MGT_RX14_P
  • MGT_RX14_N
  • MGT_TX14_P
  • MGT_TX14_N

...

  • J1-25
  • J1-27
  • J1-26
  • J1-28

...

  • MGTXRXP2_112
  • MGTXRXN2_112
  • MGTXTXP2_112
  • MGTXTXN2_112

...

  • MGT_RX15_P
  • MGT_RX15_N
  • MGT_TX15_P
  • MGT_TX15_N

...

  • J1-29
  • J1-31
  • J1-30
  • J1-32

...

  • MGTXRXP3_112
  • MGTXRXN3_112
  • MGTXTXP3_112
  • MGTXTXN3_112

Table 3: MGT lanes

There are 2 clock sources for the GTX transceivers. MGT_CLK1 and MGT_CLK4 are connected directly to B2B connector J3 and J1, so the clock can be provided by the carrier board. Clocks MGT_CLK0, MGT_CLK3, MGT_CLK5 and MGT_CLK6 are provided by the on-board clock generator (U2). As there are no capacitive coupling of the data and clock lines that are connected to the connectors, these may be required on the user’s PCB depending on the application.

...

Table 4: MGT reference clock sources

JTAG Interface

JTAG access to the Xilinx Zynq-7000 is provided through B2B connector J3.

...

JTAG Signal

...

B2B Connector Pin

...

Table 5: Zynq JTAG interface signals

JTAG access to the LCMXO2-1200HC System Controller CPLD U14 is provided through B2B connector J3.

...

JTAG Signal

...

B2B Connector Pin

...

Pin J3-136 'JTAGENB' of B2B connector J3 is used to access the JTAG interface of the SC CPLD. Set high to program the System Controller CPLD via JTAG interaface.

System Controller CPLD I/O Pins

Special purpose pins are connected to System Controller CPLD and have following default configuration:

...

Bootmode Pins

currently configured in SC CPLD firmare to boot from QSPI Flash

...

reset USB2 PHYs
U4 and U8

...

Table 7: System Controller CPLD special purpose pins.

See also TE0782 CPLD reference Wiki page.

Default PS MIO Mapping

...

Table 8: Zynq PS MIO mapping

Gigabit Ethernet

...

Scroll Title
anchorTable_SIP_PCIE_GTX
titleGTX lanes routed to the PCIe interface

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

LaneBankTypeSignal NamePCIe Connector PinFPGA Pin
0115GTX
  • PER3_P
  • PER3_N
  • PET3_P
  • PET3_N
  • J1-A29
  • J1-A30
  • J1-B27
  • J1-B28
  • MGTXTXP0_115, P2
  • MGTXTXN0_115, P1
  • MGTXRXP0_115, R4
  • MGTXRXN0_115, R3
1115GTX
  • PER2_P
  • PER2_N
  • PET2_P
  • PET2_N
  • J1-A25
  • J1-A26
  • J1-B23
  • J1-B24
  • MGTXTXP1_115, M2
  • MGTXTXN1_115, M1
  • MGTXRXP1_115, N4
  • MGTXRXN1_115, N3
2115GTX
  • PER1_P
  • PER1_N
  • PET1_P
  • PET1_N
  • J1-A21
  • J1-A22
  • J1-B19
  • J1-B20
  • MGTXTXP2_115, K2
  • MGTXTXN2_115, K1
  • MGTXRXP2_115, L4
  • MGTXRXN2_115, L3
3115GTX
  • PER0_P
  • PER0_N
  • PET0_P
  • PET0_N
  • J1-A16
  • J1-A17
  • J1-B14
  • J1-B15
  • MGTXTXP3_115, H2
  • MGTXTXN3_115, H1
  • MGTXRXP3_115, J4
  • MGTXRXN3_115, J3




Scroll Title
anchorTable_SIP_PCIE_CLK
titlePCIe reference clock sources

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

PCIeSignal Schematic NameConnected toPCIe connector pinFPGA PinNotes

J1

  • PCIE_CLK_P
  • PCIE_CLK_N
MGT bank 115

J1-A13, REFCLK+
J1-A14, REFCLK-

MGTREFCLK1P_115, K6
MGTREFCLK1N_115, K5

External clock supplied by PCIe interface


JTAG Connectors

There are two JTAG connectors J8 and J9 available on the TEF1001 board:

Scroll Title
anchorTable_SIP_JTAG
titleJTAG interface signals

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

JTAG InterfaceSignal Schematic NameJTAG Connector PinConnected to

CPLD JTAG

VCCIO: 3.3V

Connector: J8

CPLD_JTAG_TMSJ8-1SC CPLD, bank 0, pin 90
CPLD_JTAG_TDIJ8-2SC CPLD, bank 0, pin 94
CPLD_JTAG_TDOJ8-3SC CPLD, bank 0, pin 95
CPLD_JTAG_TCK

J8-4

SC CPLD, bank 0, pin 91




FPGA JTAG

VCCIO: 1.8V

Connector: J9

FPGA_JTAG_TMSJ9-4FPGA, bank 0, pin N9
FPGA_JTAG_TCKJ9-6FPGA, bank 0, pin M8
FPGA_JTAG_TDOJ9-8FPGA, bank 0, pin N8
FPGA_JTAG_TDIJ9-10FPGA, bank 0, pin L8


FAN Connectors

The TEF1001 board offers one FAN connector for cooling the FPGA device and one built-in FAN for the FMC modules.

Scroll Title
anchorTable_SIP_FAN_Connector
titleFAN connectors

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

ConnectorSignal Schematic NamesConnected toNotes
4-Wire PWM FAN
connector J4,
12V power supply

'F1SENSE', pin J4-3
'F1PWM', pin J4-4

SC CPLD U5, pin 99
SC CPLD U5, pin 98

FPGA cooling FAN can be controlled via
I²C interface from FPGA,
see current SC CPLD firmware
2-pin FAN connector J6,
5V power supply
with TPS2051 Load Switch U25

'FAN_FMC_EN',

(Load Switch U25, pin 4)

SC CPLD U5, pin 78

FMC cooling FAN


On-board Peripherals

Page properties
hiddentrue
idComments

Notes :

  • add subsection for every component which is important for design, for example:
    • Ethernet PHY
    • USB PHY
    • Programmable Clock Generator
    • Oscillators
    • eMMCs
    • RTC
    • FTDI
    • ...
    • DIP-Switches
    • Buttons
    • LEDs

System Controller CPLD

The System Controller CPLD (U5) is provided by Lattice Semiconductor LCMXO2-256HC (MachXO2 Product Family). The  SC-CPLD is the central system management unit where essential control signals are logically linked by the implemented logic in CPLD firmware, which generates output signals to control the system, the on-board peripherals and the interfaces. Interfaces like JTAG and I2C between the on-board peripherals and to the FPGA module are by-passed, forwarded and controlled by the System Controller CPLD.

Other tasks of the System Controller CPLD are the monitoring of the power-on sequence and to display the programming state of the FPGA module.

For detailed function of the pins and signals, the internal signal assignment and the implemented logic, look to the Wiki reference page of the board's SC CPLD or into its bitstream file.. Table below lists the SC CPLD I/O pins with their default configuration:

Scroll Title
anchorTable_OBP_SC_CPLD
titleSystem Controller CPLD I/O pins

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

SC CPLD U5 Pins and InterfacesConnected toFunctionNotes
200MHZCLK_ENOscillator U1, pin 1Oscillator U1 control lineenables 200.0000MHz oscillator U1
BUTTONPush Button S2userReset Button
CPLD_JTAG_TDOheader J8, pin 3SC CPLD JTAG interfaceSC CPLD JTAG interface enabled when
DIP-switch S1-1 in ON-position
CPLD_JTAG_TDIheader J8, pin 2
CPLD_JTAG_TCKheader J8, pin 4
CPLD_JTAG_TMSheader J8, pin 1
JTAG_ENDIP switch S1-1
DDR3_SCLSO-DIMM U2. pin 202I²C bus of DDR3 SO-DIMMI²C interface connected to FPGA
DDR3_SDASO-DIMM U2. pin 200
PLL_SCLSi5338 U13, pin 12I²C bus of SI5338 quad clock PLLI²C interface connected to FPGA
PLL_SDASi5338 U13, pin 19
PCIE_RSTbPCIe J1, pin A11PCIe reset inputrefer to current SC CPLD firmware for functionality
FEX_DIR / FEX0 ... FEX11FPGA bank 14user GPIOrefer to current SC CPLD firmware for functionality
F1PWMFAN connector J4, pin 4FPGA FAN controlrefer to current SC CPLD firmware for functionality
F1SENSEFAN connector J4, pin 3
FAN_FMC_ENLoad Switch U25, pin 4FMC FAN enable
FMC_PG_C2MFMC J2, pin D1FMC control signalsrefer to current SC CPLD firmware for functionality
FMC_PG_M2CFMC J2, pin F1
FMC_PRSNT_M2C_LFMC J2, pin H2
FMC_SCLFMC J2, pin C30FMC I²CI²C connected to FPGA
FMC_SDAFMC J2, pin C31
FMC_TCKFMC J2, pin D29FMC JTAGrefer to current SC CPLD firmware for functionality
FMC_TDIFMC J2, pin D30
FMC_TDOFMC J2, pin D31
FMC_TMSFMC J2, pin D33
FMC_TRSTFMC J2, pin D34
DONEFPGA bank 0, pin J7FPGA configuration signalPL configuration completed
PROGRAM_BFPGA bank 0, pin P6PL configuration reset signal
LED1Green LED D11LED status signalrefer to current SC CPLD firmware for functionality
FPGA_IIC_OEFPGA bank 14, pin F25SC CPLD works as I²C switch
with the FPGA as I²C-Master
and on-board peripherals as
I²C-Slaves
I²C output enable
FPGA_IIC_SCLFPGA bank 14, pin G26I²C clock line
FPGA_IIC_SDAFPGA bank 14, pin G25I²C data line
EN_1V8DC-DC U20, pin 27Power controlenable signal DC-DC U20
PG_1V8DC-DC U20, pin 28power good signal DC-DC U20
EN_3V3FMCDC-DC U15, pin 27enable signal DC-DC U15
PG_3V3DC-DC U15, pin 28power good signal DC-DC U15
EN_FMC_VADJDC-DC U7, pin 52enable signal DC-DC U7
PG_FMC_VADJDC-DC U7, pin 46power good DC-DC U7

VID0_FMC_VADJ,
VID1_FMC_VADJ,
VID2_FMC_VADJ

DC-DC U7, pin 45, 44, 43DCDC U7 power selection pin

VID0_FMC_VADJ_CTRL,
VID1_FMC_VADJ_CTRL,
VID2_FMC_VADJ_CTRL

DIP switch S1-2,
DIP switch S1-3,
DIP switch S1-4
Power selection of FMC_VADJ, forwarded
to DCDC U7
LTM_1V5_RUNDC-DC U3, pin F5enable signals of DCDC U3, U4 (LTM4676)
refer to current SC CPLD firmware for functionality
LTM_4V_RUNDC-DC U3, pin F5
LTM_SCLDC-DC U3 / U4, pin E6DCDC U3, U4 (LTM4676) I²C

I²C Address U3: 0x40

I²C Address U4: 0x4F

I²C interface of LTM4676 ICs
also accessible through header J10

LTM_SDADC-DC U3 / U4, pin D6
LTM1_ALERTDC-DC U4, pin E5DCDC U3, U4 (LTM4676) control,
active low
refer to current SC CPLD firmware for functionality
LTM2_ALERTDC-DC U3, pin E5
LTM_1V_IO0DC-DC U4, pin E4
LTM_1V_IO1DC-DC U4, pin F5
LTM_1V5_4V_IO0DC-DC U3, pin E4
LTM_1V5_4V_IO1DC-DC U3, pin F4


DDR3 SDRAM ECC SO-DIMM Socket

The TEF1001 board supports additional DDR3 ECC SO-DIMM via 204-pin socket U2. The DDR3 memory interface has a 64bit wide databus and is routed to the FPGA banks 32, 33 and 34.

The reference clock signal for the DDR3 interface is generated by the 200.0000MHz MEMS oscillator U1 and is applied to the FPGA bank 33.

There is also a I2C interface between the System Controller CPLD U5 and the DDR3 ECC SO-DIMM memory socket U2.

Scroll Title
anchorTable_OBP_SO_DIMM
titleDDR3 SODIMM socket I²C interface

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

I²C InterfaceSchematic net namesConnected toI²C AddressNotes
DDR3 SODIMM, U2

'DDR3_SDA', pin 200
'DDR3_SCL', pin 202

SC CPLD U5, pin 42
SC CPLD U5, pin 43

module dependent-



Info

It is important to use SO-DIMMs which provide ECC functionality. SO-DIMMs without ECC are not compatible with this board.

Quad SPI Flash Memory

A 256 Mbit (32 MByte) Quad SPI Flash Memory (Micron N25Q256A, U12) is provided for FPGA configuration file storage. After configuration process completes the remaining free memory can be used for application data storage. All four SPI data lines are connected to the FPGA allowing x1, x2 or x4 data bus widths to be used. The maximum data transfer rate depends on the bus width and clock frequency. The memory can be accessed indirectly by the FPGA JTAG port (J9) by implementing the functional logic for this purpose inside the FPGA.

Quad SPI Flash memory interface is connected to the FPGA bank 14, QSPI clock is provided by FPGA config bank 0.

Scroll Title
anchorTable_OBP_QSPI
titleQuad SPI interface signals and connections

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Signal NameQSPI Flash Memory U12 PinFPGA Pin
FLASH_QSPI_CSS, Pin 7Bank 14, Pin C23
FLASH_QSPI_D00DQ0, Pin 15Bank 14, Pin B24
FLASH_QSPI_D01DQ1, Pin 8Bank 14, Pin A25
FLASH_QSPI_D02DQ2, Pin 9Bank 14, Pin B22
FLASH_QSPI_D03DQ3, Pin 1Bank 14, Pin A22
FPGA_CFG_CCLKC, Pin 16Bank 0, Pin C8



Note

SPI Flash QE (Quad Enable) bit must be set to high or FPGA is unable to load its configuration from flash during power-on. By default this bit is set to high at the manufacturing plant.

Programmable Clock Generator

There is a Silicon Labs I2C programmable quad PLL clock generator on-board (Si5338A, U13) to generate various reference clocks for the module.

Scroll Title
anchorTable_OBP_SI5338A
titleProgrammable quad PLL clock generator inputs and outputs

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Si5338A Pin
Signal Name / Description
Connected toDirectionNote

IN1

-

not connectedInput

not used

IN2-GNDInputnot used

IN3

Reference input clock

U3, pin 3Input25.000000 MHz oscillator U14, Si8208AI

IN4

-GNDInputI2C slave device address LSB

IN5

-

not connectedInputnot used
IN6-GNDInputnot used
SCLPLL_SCLSC CPLD U5, pin 8Input / Output

I²C interface muxed to FPGA

Slave address: 0x70.

SDAPLL_SDASC CPLD U5, pin 2Input / Output

CLK0A

CLK0_P

U6, G24Output

Clock to PL bank 14

CLK0BCLK0_NU6, F24
CLK1AMGTCLK_5338_PU6, H6Output

Clock to MGT bank 115,
AC decoupled

CLK1BMGTCLK_5338_NU6, H5
CLK2ACLK1_PU6, G22OutputClock to PL bank 14
CLK2BCLK1_NU6, F23
CLK3A

CLK2_P

U6, D23OutputClock to PL bank 14
CLK3BCLK2_NU6, D24


Oscillators

The FPGA module has following reference clocking sources provided by on-board oscillators and FMC connector J2:

Scroll Title
anchorTable_OBP_OSC
titleReference clock signals

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Clock SourceFrequencySignal Schematic NameClock DestinationNotes
U14, SiT8208AI25.000000 MHzCLKSi5338A PLL U13, pin 3 (IN3)-
U1, DSC1123DL5200.0000 MHzDDR3_CLK_PFPGA bank 33, pin AB11

Enable by SC CPLD U5, pin 30

Signal: '200MHzCLK_EN'

DDR3_CLK_NFPGA bank 33, pin AC11
FMC Connector J2-GBTCLK0_M2C_P, Pin J2-D4FPGA bank 116, pin D6reference clock to MGT bank 116
GBTCLK0_M2C_N, Pin J2-D5FPGA bank 116, pin D5
-GBTCLK1_M2C_P, Pin J2-B20FPGA bank 116, pin F6reference clock to MGT bank 116
GBTCLK1_M2C_N, Pin J2-B21FPGA bank 116, pin F5
-CLK0_M2C_P, Pin J2-H4FPGA bank 15, pin H17reference clock to PL bank 15
CLK0_M2C_N, Pin J2-H5FPGA bank 15, pin H18
-CLK1_M2C_P, Pin J2-G2FPGA bank 15, pin G17reference clock to PL bank 15
CLK1_M2C_N, Pin J2-G3FPGA bank 15, pin G18
-CLK2_BIDIR_P, Pin J2-K4FPGA bank 13, pin P23reference clock to PL bank 13
bidirectional clock line
CLK2_BIDIR_N, Pin J2-K5FPGA bank 13, pin N23
-CLK3_BIDIR_P, Pin J2-J2FPGA bank 13, pin R22reference clock to PL bank 13
bidirectional clock line
CLK3_BIDIR_N, Pin J2-J3FPGA bank 13, pin R23


On-board LEDs

Scroll Title
anchorTable_OBP_LEDs
titleOn-board LEDs description

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

LEDColorSignal Schematic nameConnected toDescription and Notes
D1GreenFPGA_LED1_VTFPGA bank 13, pin K25

LEDs D1 to D10 are available to user.

LED voltages are translated from FPGA bank 13 and 14
VCCO voltage FMC_VADJ to 3V3.

D2GreenFPGA_LED2_VTFPGA bank 13, pin K26
D3GreenFPGA_LED3_VTFPGA bank 13, pin P26
D4GreenFPGA_LED4_VTFPGA bank 13, pin R26
D5GreenFPGA_LED5_VTFPGA bank 13, pin N16
D6GreenFPGA_LED6_VTFPGA bank 14, pin J26
D7GreenFPGA_LED7_VTFPGA bank 14, pin H26
D8GreenFPGA_LED8_VTFPGA bank 14, pin E26
D9GreenFPGA_LED9_VTFPGA bank 14, pin A24
D10GreenFPGA_LED10_VTFPGA bank 15, pin F19
D11GreenLED1System Controller CPLD, bank 0, pin 76refer to current CPLD firmware for LED functionality


Configuration DIP-switch

There is one 4-bit DIP-witches S1 present on the TEB0911 board to configure options and set parameters. The following section describes the functionalities of the particular switches.

Table below describes the functionalities of the switches of DIP-switches S3 and S4 at their each positions:

Scroll Title
anchorTable_OBP_DIP
titleDIP-switch S1 functionality description

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

DIP-switch S3Signal Schematic NameConnected toFunctionalityNotes
S1-1JTAG_ENSC CPLD U5, bank 1, pin 82enables JTAG interface of SC CPLD U5 in ON-positionSC CPLD programmable through JTAG header J8
S1-2VID0_FMC_VADJ_CTRLSC CPLD U5, bank 1, pin 71set 3bit code to adjust FMC_VADJ voltage

The FMC_VADJ voltage is provided by DCDC U7 EN5365QI,

the voltage can be adjusted from 0.8V to 3.3V in 7 steps:

Set DIP-switches as  bit pattern "S1-4 | S1-3 | S1-2:  FMC_VADJ":

0 | 0 | 0 :   3.3V
0 | 0 | 1 :   2.5V
0 | 1 | 0 :   1.8V
0 | 1 | 1 :   1.5V
1 | 0 | 0 :   1.25V
1 | 0 | 1 :   1.2V
1 | 1 | 0 :   0.8V
1 | 1 | 1 :   Reserved

S1-3VID1_FMC_VADJ_CTRLSC CPLD U5, bank 1, pin 63
S1-4VID2_FMC_VADJ_CTRLSC CPLD U5, bank 1, pin 62


Push Buttons

There is one push buttons available to the user connected to the SC CPLD U5:

Scroll Title
anchorTable_OBP_PB
titleOn-board Push Button

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

ButtonConnected toFunctionNotes
S2SC CPLD U5, pin 77Global board ResetRefer to documentation of current SC CPLD firmware
for more detais.


Power and Power-On Sequence

Power Consumption

The maximum power consumption of a module mainly depends on the design running on the FPGA.

Xilinx provide a power estimator excel sheets to calculate power consumption. It's also possible to evaluate the power consumption of the developed design with Vivado. See also Trenz Electronic Wiki FAQ.

Scroll Title
anchorTable_PWR_CONSUMPTION
titleTypical power consumption

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Power InputTypical Current
12V VINTBD*


 * TBD - To Be Determined soon with reference design setup.

It is recommended to connect the ATX connector J5 to a 12V power supply source with minimum current capability of 6A to provide a sufficient power source to the board. Only one power source is needed at the same time, the system disconnects automatically PCIe power supply from PCIe edge connector J1 if the board is powered by the ATX connector J5.

Warning
To avoid any damage to the module, check for stabilized on-board voltages should be carried out (i.e. power good and enable signals) before powering up any FPGA's I/O bank voltages VCCO_x. All I/Os should be tri-stated during power-on sequence.

Power Distribution Dependencies

Scroll Title
anchorFigure_PWR_PD
titlePower Distribution


Scroll Ignore

draw.io Diagram
borderfalse
viewerToolbartrue
fitWindowfalse
diagramDisplayName
lboxtrue
revision2
diagramNameTEF1001 power distribution diagram
simpleViewerfalse
width
linksauto
tbstylehidden
diagramWidth642


Scroll Only

Image Added


Power-On Sequence

The TEF1001 board meets the recommended criteria to power up the Xilinx FPGA properly by keeping a specific sequence of enabling the on-board DC-DC converters dedicated to the particular functional units of the FPGA chip and powering up the on-board voltages.
Some of the voltages are handled by the System Controller CPLD using "Power good"-signals from the voltage regulators:

Following diagram clarifies the sequence of enabling the particular on-board voltages, which will power-up in descending order as listed in the blocks of the diagram:

Scroll Title
anchorFigure_PWR_PS
titlePower Sequency


Scroll Ignore

draw.io Diagram
borderfalse
viewerToolbartrue
fitWindowfalse
diagramDisplayName
lboxtrue
revision6
diagramNameTEF1001 power-on sequence diagram
simpleViewerfalse
width
linksauto
tbstylehidden
diagramWidth641


Scroll Only

Image Added


Bank Voltages

Scroll Title
anchorTable_PWR_BV
titleBoard I/O bank voltages

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

BankSchematic NameVoltageRangeNotes
01V81.8V-Config bank 0 fixed to 1.8V
12FMC_VADJuserHR: 1.2V to 3.3VFMC_VADJ voltage ajustable by DIP switch S1
13FMC_VADJuserHR: 1.2V to 3.3VFMC_VADJ voltage ajustable by DIP switch S1
141V81.8VHR: 1.2V to 3.3VPL bank 14 fixed to 1.8V
15FMC_VADJuserHR: 1.2V to 3.3VFMC_VADJ voltage ajustable by DIP switch S1
16VIO_B_FMCuserHR: 1.2V to 3.3VPL bank 16 fixed to 1.8V
321V51.5VHP: 1.2V to 1.8VDDR3 memory interface
331V51.5VHP: 1.2V to 1.8VDDR3 memory interface
341V51.5VHP: 1.2V to 1.8VDDR3 memory interface

115

116

MGTAVCC_FPGA

MGTVCCAUX_FPGA

MGTAVTT_FPGA

1.0V

1.8V

1.2V

MGT bank supply voltage

MGT bank auxiliary supply voltage

MGT bank termination circuits voltage

MGT banks with Xilinx GTX transceiver units


Power Rails

Scroll Title
anchorTable_PWR_PR
titleBoard power rails

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Connector / PinVoltageDirectionNotes
J4, pin 212VOutput4-wire PWM fan connector supply voltage
J6, pin 25VOutputCooling fan M1 supply voltage
J8, pin 63V3OutputVCCIO CPLD JTAG
J9, pin 21V8OutputVCCIO FPGA JTAG
J2, pin C35 / C3712VOutputFMC supply voltage
J2, pin D323V3OutputVCCIO FMC
J2, pin D36 / D38 / D39 / D403V3FMCOutputVCCIO FMC
J2, pin H1VREF_A_M2CInputVREF voltage for bank 13 / 15
J2, pin K1VREF_B_M2CInputVREF voltage for bank 16
J2, pin J39 / J40VIO_B_FMCInputPL I/O voltage bank 16 (VCCO)
J2, pin H40 / G39 / F40 / E39FMC_VADJOutputPL I/O voltage bank 12 / 13 / 15 (VCCO)
J1, pin B1 / B2 / B3 / A2 / A312V_input_BInput12V main power supply from PCIe connector
J5, pin 1 / 2 / 312V_input_AInputMain power supply connector


Technical Specifications

Absolute Maximum Ratings

Scroll Title
anchorTable_TS_AMR
titleModule absolute maximum ratings

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Parameter

MinMax

Units

Reference Document

VIN supply voltage

-0.320

V

TPS6217 datasheet

Note: voltage limitations are not valid for connected FMC module and/or FPGA FAN

Supply voltage for HR I/O banks (VCCO)

-0.500

3.600

VXilinx datasheet DS182

Supply voltage for HP I/O banks (VCCO)

-0.500

2.000VXilinx datasheet DS182
I/O input voltage for HR I/O banks

-0.500

VCCO + 0.500

VXilinx datasheet DS182

I/O input voltage for HP I/O banks

-0.500

VCCO + 0.500

VXilinx datasheet DS182
Reference Voltage pin (VREF)-0.5002VXilinx datasheet DS182
Differential input voltage-0.52.625VXilinx datasheet DS182
I/O input voltage for SC CPLD U5-0.53.75VLattice MachXO2 Family datasheet
GTX transceiver reference clocks absolute input voltage-0.5001.320VXilinx datasheet DS182

GTX transceiver receiver (RXP/RXN) and transmitter (TXP/TXN) absolute input voltage

-0.500

1.260

VXilinx datasheet DS182
Voltages on LTM4676 I²C pins (LTM_SCL, LTM_SDA), header J10-0.35.5VLTM4676A datasheet

Storage temperature

-40

+100

°C

SML-P11 LED datasheet



Note
Assembly variants for higher storage temperature range are available on request.

Recommended Operating Conditions

Scroll Title
anchorTable_TS_ROC
titleRecommended Operating Conditions

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

ParameterMinMaxUnitsReference Document

ETH1 PHY connection:

...

Table 9: General overview of the Gigabit Ethernet1 PHY signals

ETH2 PHY connection:

...

-

...

Table 10: General overview of the Gigabit Ethernet2 PHY signals

USB Interface

The TE0782 is equipped with two USB PHY's USB3320 from Microchip (U4 (USB0) and U8 (USB1)). The ULPI interface of USB0 is connected to the Zynq PS USB0, ULPI interface of USB1 to Zynq PS USB1. The I/O Voltage is fixed at 1.8V.

The reference clock input of both PHY's is supplied from an on board 52MHz oscillator (U7).

USB0 PHY connection:

...

Table 11: General overview of the USB0 PHY signals

USB1 PHY connection:

...

Table 12: General overview of the USB1 PHY signals

I2C Interface

The on-board I2C components are connected to bank 35 pins L15 (I2C_SDA) and L14 (I2C_SCL).

I2C addresses for on-board components:

...

Table 13: Address table of the I2C bus slave devices

Pin Definitions

Pins with names ending with _VRN and _VRP are connected to Zynq PL HP bank special purpose pins VRN/VRP and can be routed to DCI calibration resistors on the baseboard. Otherwise they are usable as general purpose I/Os.

Bank 35 has 100 ohm DCI calibration resistors installed, it is also possible to "borrow" the DCI calibration from bank 35 for banks 34 and 33. For more detailed information about the DCI check Xilinx documentation.

On-board Peripherals

System Controller CPLD

The System Controller CPLD (U14) is provided by Lattice Semiconductor LCMXO2-1200HC (MachXO2 product family). It is the central system management unit with module specific firmware installed to monitor and control various signals of the FPGA, on-board peripherals, I/O interfaces and module as a whole.

See also TE0782 CPLD reference Wiki page.

eMMC Flash Memory

eMMC Flash memory device (U15) is connected to the Zynq PS MIO bank 500 pins MIO10..MIO15. eMMC chips MTFC4GMVEA-4M IT (Flash NAND-IC 2x 16 Gbit) is used with 4 GByte of memory density.

DDR3L Memory

By default TE0782-02 module has two 16-bit wide IM (Intelligent Memory) IM4G16D3FABG-125I DDR3L SDRAM (DDR3-1600 Speedgrade) chips (U10, U19) arranged into 32-bit wide memory bus providing total of 1 GBytes of on-board RAM.

Quad SPI Flash Memory

Two quad SPI compatible serial bus flash memory for FPGA configuration file storage is provided by Spansion S25FL256SAGBHI20 (U38) with 256 Mbit (32 MByte) memory density. After configuration completes the remaining free memory can be used for application data storage. All four SPI data lines are connected to the FPGA allowing x1, x2 or x4 data bus widths to be used. The maximum data transfer rate depends on the bus width and clock frequency.

Gigabit Ethernet PHYs

On-board Gigabit Ethernet PHYs (U18, U20) are provided by Marvell Alaska 88E1512. The Ethernet PHYs' RGMII interfaces are connected to the Zynq's PS MIO bank 501 and to PL bank 9. I/O voltage is fixed at 1.8V for HSTL signaling. The reference clock input of both PHYs is supplied from an on-board 25.000000 MHz oscillator (U11).

High-speed USB ULPI PHYs

Hi-speed USB ULPI PHYs (U4. U8) are provided with USB3320 from Microchip. The ULPI interfaces are connected to the Zynq PS USB0 and USB1 via MIO28..51, bank 501 (see also section USB interface). The I/O voltage is fixed at 1.8V and PHY reference clock input is supplied from the on-board 52.000000 MHz oscillator (U7).

MAC Address EEPROMs

Two Microchip 24AA025E48 serial EEPROMs (U22, U24) contain globally unique 48-bit node address, which are compatible with EUI-48(TM) specification. The devices are organized as two blocks of 128 x 8 Kbit memory. One of the blocks stores the 48-bit node address and is write protected, the other block is available for application use. The MAC address EEPROMS areaccessible over I2C bus (see also section I²C interface).

Configuration EEPROM

The TE0782 board contains one EEPROM (U26) for configuration and general user purposes. The EEPROMs is provided by Microchip 24LC128-I/ST with 128 KBit memory density, the EEPROM is areaccessible over I2C bus (see also section I²C interface).

Programmable Clock Generator

There is a Silicon Labs I2C programmable clock generator Si5338A (U2) chip on-board. It's output frequencies can be programmed using the I2C bus address 0x70 or 0x71. Default address is 0x70, IN4/I2C_LSB pin must be set to high for address 0x71.

A 25.000000 MHz oscillator (U3) is connected to the pin IN3 and is used to generate the output clocks. The output voltage of the oscillator is provided by the 1.8V power rail, thus making output frequency available as soon as 1.8V is present. All 4 of the Si5338 clock outputs are connected to the MGT banks of the Zynq device. It is possible to use the clocks connected to the GTR bank in the user's logic design. This is achieved by instantiating a IBUFDSGTE buffer in the design.

Once running, the frequency and other parameters can be changed by programming the device using the I2C bus connected between the FPGA (master) and clock generator (slave). For this, proper I2C bus logic has to be implemented in FPGA.

...

External clock signal supply from B2B connector J3, pins J3-38 / J3-40

...

IN3

...

25.000000 MHz

...

Fixed input clock signal from reference clock generator SiT8008BI-73-18S-25.000000E (U3)

...

IN5

...

-

...

Not connected

...

IN6

...

-

...

-

...

reference clock 0 of Bank 112 GTX

...

CLK1 A/B

...

reference clock 1 of Bank 111 GTX

...

CLK2 A/B

...

-

...

reference clock 0 of Bank 110 GTX

...

Table 14: General overview of the on-board quad clock generator I/O signals

Oscillators

The module has following reference clock signals provided by on-board oscillators and external source from carrier board:

...

Table 15: Reference clock signals

On-board LEDs

...

Table 16: On-board LEDs

Power and Power-on Sequence

Power Supply

Power supply with minimum current capability of 4A for system startup is recommended.

Power Consumption

...

 * TBD - To Be Determined soon with reference design setup.

Warning
To avoid any damage to the module, check for stabilized on-board voltages should be carried out (i.e. power good and enable signals) before powering up any Zynq's I/O bank voltages VCCO_x. All I/Os should be tri-stated during power-on sequence.

Power Distribution Dependencies

The Trenz TE0782 SoM is equipped with two quad DC-DC voltage regulators to generate required on-board voltage levels 1V, 3.3V, 1.8V, 1.2V_MGT, 1V_MGT. Additional voltage regulators are used to generate voltages 1.5V, VTT, VTTREF and 1.8V_MGT.

The power supply voltage 'C3.3V' of System Controller CPLD of the SoM have to be externally supplied with 3.3V nominal.

There are following dependencies how the initial voltages of the power rails on the B2B connectors are distributed to the on-board DC-DC converters, which power up further DC-DC converters and the particular on-board voltages:

...

anchorFigure_3
titleFigure 3: TE0782-02 Power Distribution Diagram

...

Power-On Sequence

Power-on sequence is handled by the System Controller CPLD using "Power good"-signals from the voltage regulators:

...

anchorFigure_4
titleFigure 4: TE0782-02 Power-on Sequence Diagram

...

111, 112, 123, 124, 135 136

169, 170, 171, 172

...

Table 18: Module power rails

Bank Voltages

...

See Xilinx Zynq-7000 datasheet DS191 for the voltage ranges allowed.

Board to Board Connectors

The TE0782 SoM has three 160-pin double-row ASP-122952-01  Samtec connectors on the bottom side which mate with ASP-122953-01 Samtec connectors on the baseboard. Mating height is 5 mm.

Variants Currently In Production

...

Technical Specifications

Absolute Maximum Ratings

...

Parameter

...

Units

...

Notes

...

VIN supply voltage

...

-0.3

...

15

...

V

...

Voltage on SC CPLD pins

...

-0.5

...

V

...

Storage temperature

...

-40

...

+85

...

°C

...

Table 20: Module absolute maximum ratings

Recommended Operating Conditions

...

VIN supply voltage11.412.6V

...

12V nominal, ANSI/VITA 57.1 power specification for FMC connector
Supply voltage for HR I/O banks (VCCO)1.140

3.465

VXilinx datasheet DS182

Supply voltage for HP I/O banks (VCCO)

1.140

1.890

VXilinx datasheet DS182

I/O input voltage for HR I/O banks

-0.500

VCCO + 0.20VXilinx datasheet DS182
I/O input voltage for HP I/O banks-0.500VCCO + 0.20VXilinx datasheet DS182
Differential input voltage-0.22.625VXilinx datasheet DS182
I/O input voltage for SC CPLD U5-0.33.6VLattice MachXO2 Family datasheet
Voltages on LTM4676 I²C pins (LTM_SCL, LTM_SDA), header J1003.3VVLTM4676A datasheet

Board Operating Temperature Range 1), 2)

-4085°C

board operating temperature range limited by FPGA SoC and on-board peripherals



1) Temperature range may vary depending on assembly options

2) The operating temperature range of the FPGA soC and on-board peripherals are junction and also ambient operating temperature ranges

Board operating temperature range depends also on customer design and cooling solution. Please contact us for options.

Note
Please check also Xilinx datasheet DS182 for complete list of absolute maximum and recommended operating ratings.

Physical Dimensions

Scroll Title
anchorFigure_TS_PD
titlePhysical dimensions drawing

Image Added

Variants Currently In Production

Page properties
hiddentrue
idComments


Scroll Title
anchorTable_VCP_SO
titleTrenz Electronic Shop Overview

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Trenz shop TEF1001 overview page
English pageGerman page


Revision History

Hardware Revision History

Scroll Title
anchorTable_RH_HRH
titleHardware Revision History

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

Table 21: Recommended operating conditions

Module operating temperature range depends also on customer design and cooling solution. Please contact us for options.

Note
See Xilinx datasheet DS191 for more information about absolute maximum and recommended operating ratings for the Zynq-7000 chips.

Physical Dimensions

  • Module size: 85 mm × 85 mm.  Please download the assembly diagram for exact numbers.

  • Mating height with standard connectors: 5 mm

  • PCB thickness: 1.7 mm

All dimensions are shown in millimeters.

...

anchorFigure_5
titleFigure 5: Module physical dimensions drawing

Revision History

...

DateRevision

Notes

PCN

...

Documentation Link
-02current available board revision-

...

-

...

...

-

...

01

...

First production release

PCN-20180524 TEF1001-01TEF1001-01




Scroll Title
anchorFigure_6RH_HRN
titleFigure 6: Module hardware revision number

Document Change History

Hardware Revision Number

Image Added

Hardware revision number can be found on the PCB board together with the module model number separated by the dash.

Document Change History


Page properties
hiddentrue
idComments
  • Note this list must be only updated, if the document is online on public doc!
  • It's semi automatically, so do following
    • Add new row below first

    • Copy "Page Information

HTML
<!-- Generate new entry: 1.add new row below first 2.Copy "Page Information
    • Macro(date)"

    • Macro-Preview,

    • Metadata

    • Version

    • number,

    • Author

    • Name

    • and

    • description

    • to

    • the

    • empty

    • row.

    • Important

    • Revision

    • number

    • must

    • be

    • the

    • same

    • as

    • the

    • Wiki

    • document

    • revision

    • number

3.
    • Update

    • Metadata

    • =

    • "Page

    • Information

    • Macro

    • (current-version)"

    • Preview+1

    • and

add Author and change description.   -->
    • add Author and change description. --> this point is will be deleted on newer pdf export template

    • Metadata is only used of compatibility of older exports


Scroll Title
anchorTable_RH_DCH
titleDocument change history

Scroll Table Layout
orientationportrait
sortDirectionASC
repeatTableHeadersdefault
style
widths
sortByColumn1
sortEnabledfalse
cellHighlightingtrue

DateRevision

...

AuthorsDescription

Page info
modified-date
modified-date
dateFormatyyyy-MM-dd

Page info
infoTypeCurrent version

...

prefixv.
typeFlat
showVersionsfalse


Page info
infoTypeModified by

...

typeFlat
showVersionsfalse

  • update picture
2018-10-24v.41Guillermo Herrera
  • Initial document


Disclaimer

Include Page
IN:Legal Notices
IN:Legal Notices