Overview


Design Example with minimum PS Setup (DDR, QSPI, UART0) only for custom boards or easier debug via Vitis.

Refer to http://trenz.org/te0813-info for the current online version of this manual and other available documentation.

Key Features

  • Vitis/Vivado 2023.2

  • QSPI

  • Custom Carrier (minimum PS Design with available module components only)

  • Modified FSBL (some additional outputs only)

Revision History

Date

Vivado

Project Built

Authors

Description

2024-03-012023.2TE0813-test_board-vivado_2023.2-build_4_20240301164142.zip
TE0813-test_board_noprebuilt-vivado_2023.2-build_4_20240301164142.zip
Manuela Strücker
  • update Vivado 2023.2
  • new variants
2023-09-262022.2TE0813-test_board-vivado_2022.2-build_9_20230926222100.zip
TE0813-test_board_noprebuilt-vivado_2022.2-build_9_20230926222100.zip
Manuela Strücker
  • new variants
2023-06-212022.2TE0813-test_board_noprebuilt-vivado_2022.2-build_2_20230621091607.zip
TE0813-test_board-vivado_2022.2-build_2_20230621091607.zip
John Hartfiel
  • update Vivado 2022.2
  • new variants
  • script update
2022-10-182021.2.1TE0813-test_board_noprebuilt-vivado_2021.2-build_18_20221018115000.zip
TE0813-test_board-vivado_2021.2-build_18_20221018115000.zip
Manuela Strücker
  • update Vivado 2021.2.1
  • new variants
  • script update
2021-11-162020.2TE0813-test_board_noprebuilt-vivado_2020.2-build_9_20211116073725.zip
TE0813-test_board-vivado_2020.2-build_9_20211116073013.zip
John Hartfiel
  • new variants
2021-10-282020.2TE0813-test_board-vivado_2020.2-build_8_20211028144436.zip
TE0813-test_board_noprebuilt-vivado_2020.2-build_8_20211028144418.zip
Manuela Strücker
  • initial release
Design Revision History

Release Notes and Know Issues

IssuesDescriptionWorkaroundTo be fixed version
Xilinx SoftwareIncompatibility of board files for ZynqMP with eMMC activated between 2021.2 and 2021.2.1 patch, see Xilinx Forum Requestuse corresponding board files for the Vivado versions--
QSPI FlashProgramming QSPI flash fails sometimesuse Vivado 2019.2 for programming
Known Issues

Requirements

Software

SoftwareVersionNote
Vitis2023.2needed, Vivado is included into Vitis installation
Software


Hardware

Basic description of TE Board Part Files is available on TE Board Part Files.

Complete List is available on "<project folder>\board_files\*_board_files.csv"

Design supports following modules:

Module ModelBoard Part Short NamePCB Revision SupportDDRQSPI FlashEMMCOthersNotes
TE0813-01-2AE11-A2cg_1e_2gbREV012GB128MBNANANA
TE0813-01-2AE11-AZ2cg_1e_2gbREV012GB128MBNANANA
TE0813-01-2AE11-KZ2cg_1e_2gbREV012GB128MBNANANA
TE0813-01-2BE11-A2eg_1e_2gbREV012GB128MBNANANA
TE0813-01-3AE11-A3cg_1e_2gbREV012GB128MBNANANA
TE0813-01-3BE11-A3eg_1e_2gbREV012GB128MBNANANA
TE0813-01-4AE11-A4cg_1e_2gbREV012GB128MBNANANA
TE0813-01-4BE11-A4eg_1e_2gbREV012GB128MBNANANA
TE0813-01-4BE11-AZ4eg_1e_2gbREV012GB128MBNANANA
TE0813-01-4BE71-A4eg_1e_4gbREV014GB128MBNANANA
TE0813-01-4BE71-AZ4eg_1e_4gbREV014GB128MBNANANA
TE0813-01-4BE81-A4eg_1e_4gbREV014GB128MBNANANA
TE0813-01-4BE81-AZ4eg_1e_4gbREV014GB128MBNANANA
TE0813-01-4DE11-A4ev_1e_2gbREV012GB128MBNANANA
TE0813-01-4DE11-AZ4ev_1e_2gbREV012GB128MBNANANA
TE0813-01-5DE11-A5ev_1e_2gbREV012GB128MBNANANA
TE0813-01-S0032cg_1e_2gbREV012GB128MBNANAwithout PLL
TE0813-02-2AE81-A2cg_1e_4gbREV024GB128MBNANANA
TE0813-02-2AE81-AK2cg_1e_4gbREV024GB128MBNANANA
TE0813-02-2BE81-A2eg_1e_4gbREV024GB128MBNANANA
TE0813-02-3AE81-A3cg_1e_4gbREV024GB128MBNANANA
TE0813-02-3BE81-A3eg_1e_4gbREV024GB128MBNANANA
TE0813-02-4AE81-A4cg_1e_4gbREV024GB128MBNANANA
TE0813-02-4BE71-A4eg_1e_4gbREV024GB128MBNANANA
TE0813-02-4BE81-A4eg_1e_4gbREV024GB128MBNANANA
TE0813-02-4DE81-A4ev_1e_4gbREV024GB128MBNANANA
TE0813-02-5DE81-A5ev_1e_4gbREV024GB128MBNANANA
TE0813-02-5DI81-A5ev_1i_4gbREV024GB128MBNANANA
TE0813-02-S0014eg_1i_8gbREV028GB128MBNANANA

*used as reference

Hardware Modules
Note: Design contains also Board Part Files for TE0813+TEBF0818 configuration, this board part files are not used for this reference design.

Design supports following carriers:

Carrier Model

Notes

TEBF0818*

Used as reference carrier.

*used as reference

Hardware Carrier

Additional HW Requirements:

Additional Hardware

Notes

---

---

*used as reference

Additional Hardware

Content

For general structure and usage of the reference design, see Project Delivery - AMD devices

Design Sources

TypeLocationNotes
Vivado<project folder>\block_design
<project folder>\constraints
<project folder>\ip_lib
<project folder>\board_files
Vivado Project will be generated by TE Scripts
Vitis<project folder>\sw_libAdditional Software Template for Vitis and apps_list.csv with settings automatically for Vitis app generation
Design sources

Additional Sources

Type

Location

Notes

---

---

---

Additional design sources

Prebuilt


File

File-Extension

Description

BIF-File

*.bif

File with description to generate Bin-File

BIN-File

*.bin

Flash Configuration File with Boot-Image (Zynq-FPGAs)

BIT-File

*.bit

FPGA (PL Part) Configuration File

Diverse Reports

---

Report files in different formats

Hardware-Platform-Description-File*.xsaExported Vivado hardware description file for Vitis and PetaLinux

LabTools Project-File

*.lpr

Vivado Labtools Project File

Software-Application-File

*.elf

Software Application for Zynq or MicroBlaze Processor Systems

Prebuilt files (only on ZIP with prebult content)

Download

Reference Design is only usable with the specified Vivado/Vitis/PetaLinux version. Do never use different Versions of Xilinx Software for the same Project.

Reference Design is available on:

Design Flow


Reference Design is available with and without prebuilt files. It's recommended to use TE prebuilt files for first launch.

Trenz Electronic provides a tcl based built environment based on Xilinx Design Flow.

See also:

The Trenz Electronic FPGA Reference Designs are TCL-script based project. Command files for execution will be generated with "_create_win_setup.cmd" on Windows OS and "_create_linux_setup.sh" on Linux OS.

TE Scripts are only needed to generate the vivado project, all other additional steps are optional and can also executed by Xilinx Vivado/Vitis GUI. For currently Scripts limitations on Win and Linux OS see: Project Delivery Currently limitations of functionality

Caution! Win OS has a 260 character limit for path lengths which can affect the Vivado tools. To avoid this issue, use Virtual Drive or the shortest possible names and directory locations for the reference design (for example "x:\<project folder>")


  1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell:

    _create_win_setup.cmd/_create_linux_setup.sh
    ------------------------Set design paths----------------------------
    -- Run Design with: _create_win_setup
    -- Use Design Path: <absolute project path>
    --------------------------------------------------------------------
    -------------------------TE Reference Design---------------------------
    --------------------------------------------------------------------
    -- (0)  Module selection guide, project creation...prebuilt export...
    -- (1)  Create minimum setup of CMD-Files and exit Batch
    -- (2)  Create maximum setup of CMD-Files and exit Batch
    -- (3)  (internal only) Dev
    -- (4)  (internal only) Prod
    -- (c)  Go to CMD-File Generation (Manual setup)
    -- (d)  Go to Documentation (Web Documentation)
    -- (g)  Install Board Files from Xilinx Board Store (beta)
    -- (a)  Start design with unsupported Vivado Version (beta)
    -- (x)  Exit Batch (nothing is done!)
    ----
    Select (ex.:'0' for module selection guide):
  2. Press 0 and enter to start "Module Selection Guide"

  3. Create project and follow instructions of the product selection guide, settings file will be configured automatically during this process.
    • optional for manual changes: Select correct device and Xilinx install path on "design_basic_settings.cmd" and create Vivado project with "vivado_create_project_guimode.cmd"

      Note: Select correct one, see also Vivado Board Part Flow

      Important: Use Board Part Files, which did not ends with *_tebf0818

  4. Create hardware description file (.xsa file) for PetaLinux project and export to prebuilt folder

    run on Vivado TCL (Script generates design and export files into "<project folder>\prebuilt\hardware\<short name>")
    TE::hw_build_design -export_prebuilt

    Using Vivado GUI is the same, except file export to prebuilt folder.

  5. Generate Programming Files with Vitis

    run on Vivado TCL (Script generates applications and bootable files, which are defined in "test_board\sw_lib\apps_list.csv")
    TE::sw_run_vitis -all
    TE::sw_run_vitis (optional; Start Vitis from Vivado GUI or start with TE Scripts on Vivado TCL)

    TCL scripts generate also platform project, this must be done manually in case GUI is used. See Vitis

Launch


Programming

Check Module and Carrier TRMs for proper HW configuration before you try any design.

Reference Design is also available with prebuilt files. It's recommended to use TE prebuilt files for first launch.

Xilinx documentation for programming and debugging: Vivado/Vitis/SDSoC-Xilinx Software Programming and Debugging

Get prebuilt boot binaries

  1. Run _create_win_setup.cmd/_create_linux_setup.sh and follow instructions on shell
  2. Press 0 and enter to start "Module Selection Guide"
    1. Select assembly version
    2. Validate selection
    3. Select create and open delivery binary folder

      Note: Folder "<project folder>\_binaries_<Article Name>" with subfolder "boot_<app name>" for different applications will be generated

QSPI-Boot mode

  1. Connect JTAG and power on carrier with module
  2. Open Vivado Project with "vivado_open_existing_project_guimode.cmd" or if not created, create with "vivado_create_project_guimode.cmd"

    run on Vivado TCL (Script programs BOOT.bin on QSPI flash)
    TE::pr_program_flash -swapp hello_te0813

    To program with Vitis/Vivado GUI, use special FSBL (fsbl_flash) on setup


SD-Boot mode

This does not work, because SD controller is not selected on PS.

JTAG

Load configuration and Application with Vitis Debugger into device

Usage

QSPI Boot:

  1. Prepare HW like described on section Programming

  2. Connect UART USB (most cases same as JTAG)

  3. Select QSPI as Boot Mode

    Note: See TRM of the Carrier, which is used.

  4. Power On PCB

    1. ZynqMP Boot ROM loads FSBL from QSPI into OCM,

    2. FSBL init the PS, programs the PL using the bitstream and loads PMU, ATF and U-boot from QSPI into DDR,

System Design - Vivado


Block Design

Block Design


PS Interfaces

Activated interfaces:

Type

Note

DDR


QSPI

MIO

UART0

MIO, please select other one, if you have connected UART to second controller or other MIO

SWDT0..1


TTC0..3


PS Interfaces

Constrains

Basic module constrains

_i_bitgen.xdc
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]

Design specific constrain

Not needed.

Software Design - Vitis


For Vitis project creation, follow instructions from:

Vitis

Application

Template location: "<project folder>\sw_lib\sw_apps\"

zynqmp_fsbl

TE modified 2023.2 FSBL

General:

  • Modified Files: xfsbl_main.c, xfsbl_hooks.h/.c, xfsbl_board.h/.c (search for 'TE Mod' on source code)
  • Add Files: te_xfsbl_hooks.h/.c (for hooks and board)
  • General Changes: 
    • Display FSBL Banner and Device Name


Module Specific:

  • Add Files: all TE Files start with te_*
    • Si5338 Configuration
    • OTG+PCIe Reset over MIO
    • I2C MUX for EEPROM MAC

hello_te0813

Hello TE0813 is a Xilinx Hello World example as endless loop instead of one console output.

Additional Software


No additional software is needed.

Appx. A: Change History and Legal Notices


Document Change History

To get content of older revision go to "Change History" of this page and select older document revision number.


Date

Document Revision

Authors

Description

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]

  • update Vivado 2023.2
  • new Variants

2023-09-27

v.12

Manuela Strücker
  • new Variants

2023-08-14

v.11

Manuela Strücker

  • update Vivado 2022.2
  • new Variants

  • script update

2022-10-20

v.6

Manuela Strücker

  • update Vivado 2021.2.1
  • new Variants

  • script update
2022-09-06v5Manuela Strücker
  • new Variants
2021-10-28v.2Manuela Strücker
  • initial release 2020.2

All

Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]


Document change history.

Legal Notices

Data Privacy

Please also note our data protection declaration at https://www.trenz-electronic.de/en/Data-protection-Privacy

Document Warranty

The material contained in this document is provided “as is” and is subject to being changed at any time without notice. Trenz Electronic does not warrant the accuracy and completeness of the materials in this document. Further, to the maximum extent permitted by applicable law, Trenz Electronic disclaims all warranties, either express or implied, with regard to this document and any information contained herein, including but not limited to the implied warranties of merchantability, fitness for a particular purpose or non infringement of intellectual property. Trenz Electronic shall not be liable for errors or for incidental or consequential damages in connection with the furnishing, use, or performance of this document or of any information contained herein.

Limitation of Liability

In no event will Trenz Electronic, its suppliers, or other third parties mentioned in this document be liable for any damages whatsoever (including, without limitation, those resulting from lost profits, lost data or business interruption) arising out of the use, inability to use, or the results of use of this document, any documents linked to this document, or the materials or information contained at any or all such documents. If your use of the materials or information from this document results in the need for servicing, repair or correction of equipment or data, you assume all costs thereof.

Copyright Notice

No part of this manual may be reproduced in any form or by any means (including electronic storage and retrieval or translation into a foreign language) without prior agreement and written consent from Trenz Electronic.

Technology Licenses

The hardware / firmware / software described in this document are furnished under a license and may be used /modified / copied only in accordance with the terms of such license.

Environmental Protection

To confront directly with the responsibility toward the environment, the global community and eventually also oneself. Such a resolution should be integral part not only of everybody's life. Also enterprises shall be conscious of their social responsibility and contribute to the preservation of our common living space. That is why Trenz Electronic invests in the protection of our Environment.

REACH, RoHS and WEEE

REACH

Trenz Electronic is a manufacturer and a distributor of electronic products. It is therefore a so called downstream user in the sense of REACH. The products we supply to you are solely non-chemical products (goods). Moreover and under normal and reasonably foreseeable circumstances of application, the goods supplied to you shall not release any substance. For that, Trenz Electronic is obliged to neither register nor to provide safety data sheet. According to present knowledge and to best of our knowledge, no SVHC (Substances of Very High Concern) on the Candidate List are contained in our products. Furthermore, we will immediately and unsolicited inform our customers in compliance with REACH - Article 33 if any substance present in our goods (above a concentration of 0,1 % weight by weight) will be classified as SVHC by the European Chemicals Agency (ECHA).

RoHS

Trenz Electronic GmbH herewith declares that all its products are developed, manufactured and distributed RoHS compliant.

WEEE

Information for users within the European Union in accordance with Directive 2002/96/EC of the European Parliament and of the Council of 27 January 2003 on waste electrical and electronic equipment (WEEE).

Users of electrical and electronic equipment in private households are required not to dispose of waste electrical and electronic equipment as unsorted municipal waste and to collect such waste electrical and electronic equipment separately. By the 13 August 2005, Member States shall have ensured that systems are set up allowing final holders and distributors to return waste electrical and electronic equipment at least free of charge. Member States shall ensure the availability and accessibility of the necessary collection facilities. Separate collection is the precondition to ensure specific treatment and recycling of waste electrical and electronic equipment and is necessary to achieve the chosen level of protection of human health and the environment in the European Union. Consumers have to actively contribute to the success of such collection and the return of waste electrical and electronic equipment. Presence of hazardous substances in electrical and electronic equipment results in potential effects on the environment and human health. The symbol consisting of the crossed-out wheeled bin indicates separate collection for waste electrical and electronic equipment.

Trenz Electronic is registered under WEEE-Reg.-Nr. DE97922676.


Error rendering macro 'page-info'

Ambiguous method overloading for method jdk.proxy241.$Proxy3496#hasContentLevelPermission. Cannot resolve which method to invoke for [null, class java.lang.String, class com.atlassian.confluence.pages.Page] due to overlapping prototypes between: [interface com.atlassian.confluence.user.ConfluenceUser, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject] [interface com.atlassian.user.User, class java.lang.String, class com.atlassian.confluence.core.ContentEntityObject]




Table of contents

  • No labels